Important Announcement
PubHTML5 Scheduled Server Maintenance on (GMT) Sunday, June 26th, 2:00 am - 8:00 am.
PubHTML5 site will be inoperative during the times indicated!

Home Explore Современная электроника 2018 №2

Современная электроника 2018 №2

Published by sov.elek, 2022-01-23 16:08:40

Description: Современная электроника 2018 №2

Search

Read the Text Version

ЭЛЕМЕНТЫ И КОМПОНЕНТЫ В ГОСДУМЕ СОЗДАНА РАБОЧАЯ местит на российском рынке импортные и обеспечение кибербезопасности эконо- товары. мики. ГРУППА ПО ДИВЕРСИФИКАЦИИ Вячеслав Володин отметил, что рабочей «В следующем году мы сфокусируемся на ПРЕДПРИЯТИЙ ОПК группе предстоит проанализировать дей- регулированиях трёх прорывных цифровых тех- ствующее законодательство и с привле- нологий: блокчейна, искусственного интеллек- 25 января 2018 года Председатель Гос- чением экспертного сообщества наметить та и Интернета вещей», – сообщил Козырев. думы Вячеслав Володин озвучил решение пути его совершенствования с тем, чтобы о создании рабочей группы по диверсифи- устранить существующие барьеры. Новости Интернета вещей кации предприятий оборонно-промышлен- ного комплекса. «Рабочая группа займёт- Новости Государственной Думы ВПЕРВЫЕ В США ПРОИЗВОДИТЕЛЬ ся анализом законодательства в части изу- чения законодательных барьеров, которые МИНКОМСВЯЗИ СФОКУСИРУЕТСЯ ОБОРУДОВАНИЯ ДЛЯ ЗАВОДОВ препятствуют диверсификации наших пред- НА РЕГУЛИРОВАНИИ БЛОКЧЕЙНА, ПРОДАН КИТАЙСКОЙ КОМПАНИИ приятий оборонно-промышленного комплек- ТЕХНОЛОГИЙ ИИ И IOT са», – сообщил он. Компании Naura Microelectronics Алексей Козырев, заместитель министра Equipment Co. Ltd со штаб-квартирой в Пе- Рабочую группу возглавит первый замести- связи и массовых коммуникаций Россий- кине американским офисом по иностран- тель Председателя Госдумы Александр Жу- ской Федерации, рассказал на заседании ным инвестициям (Committee on Foreign ков. В её состав войдут представители коми- Совета Федерации о формировании ком- Investment in the United State, CFIUS) бы- тетов Госдумы по экономической политике, плексного законодательного регулирования ло разрешено купить американского про- промышленности, инновационному развитию отношений, возникающих в связи с разви- изводителя промышленного оборудования и предпринимательству, по государственному тием цифровой экономики. для полупроводниковых заводов. За сумму строительству и законодательству и по при- в $15 млн Naura Microelectronics покупает родным ресурсам, собственности и земель- Чиновник рассказал об утверждении про- компанию Akrion Systems в Пенсильвании. ным отношениям. граммы «Цифровая экономика». По его сло- После завершения сделки дочерняя ком- вам, цифровая экономика базируется на ис- пания будет называться Naura Akrion. Про- Первоочередная задача группы состоит пользовании данных, которые становятся изводитель специализируется на выпуске в том, чтобы устранить барьеры, мешаю- основой добавленной стоимости. оборудования для одиночной и пакетной об- щие производству гражданской продук- работки поверхности кремниевых пластин ции на сегодняшних предприятиях ОПК, В зоне ответственности Минкомсвязи – диаметром 200 и 300 мм. и создать условия для того, чтобы они создание необходимой для данных инфра- могли выпускать продукцию, которая за- структуры, развитие цифровых технологий 3DNEWS со ссылкой на eetimes.com ОФИЦИАЛЬНЫЙ ДИСТРИБЬЮТОР СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 2 2018 WWW.SOEL.RU 49

ИНЖЕНЕРНЫЕ РЕШЕНИЯ Импульсный индукционный металлоискатель на базе ПАИС Anadigm AN231E04 Александр Щерба ([email protected]) устройства коммутации и блока обра- ботки сигнала (см. рис. 1). В статье рассматривается построение металлоискателя импульсного типа на базе программируемых аналоговых микросхем Anadigm. Генератор импульсов тока форми- Принцип действия металлоискателя основан на формировании коротких рует короткие импульсы тока милли- импульсов тока в катушке детектора и регистрации скачка напряжения секундной длительности, поступаю- с амплитудой в несколько сотен вольт, возникающего в момент снятия щие в излучающую катушку, где они напряжения с катушки. По характеру таких всплесков возможно преобразуются в импульсы магнит- детектирование металлов рядом с катушкой. ной индукции. Так как излучающая катушка, являющаяся нагрузкой гене- ПРОГРАММИРУЕМЫЕ микроконтроллера возможно загрузить ратора импульсов, имеет ярко выражен- обновлённую конфигурацию, а затем ный индуктивный характер, на фрон- АНАЛОГОВЫЕ МИКРОСХЕМЫ по специальной команде мгновенно её тах импульсов у генератора возникают активизировать. перегрузки в виде всплесков напряже- ANADIGM ния. Такие всплески могут достигать по Применение программируемых амплитуде несколько сотен вольт, одна- Компания Anadigm занимает лидиру- интегральных схем позволяет полно- ко использование защитных ограни- ющие позиции в области разработки и стью или частично отказаться от при- чителей для гашения всплесков недо- производства программируемых ана- менения дискретных компонентов при пустимо, так как оно привело бы к логовых интегральных схем (ПАИС). обработке аналоговых сигналов. Тем затягиванию фронта импульса тока и Линейка выпускаемой компанией самым повышается точность обработ- магнитной индукции и, в конечном счё- Anadigm продукции состоит из дина- ки аналоговых сигналов, уменьшаются те, к усложнению выделения отражён- мически и статически программируе- габариты и стоимость прибора. ного сигнала. мых аналоговых микросхем. В отличие от статически программируемых схем, В статье представлена реализация Приёмная и излучающая катушки где конфигурационные данные загру- металлоискателя импульсного типа, могут располагаться друг относитель- жаются при включении ПАИС и оста- построенного на ПАИС Anadigm. На но друга достаточно произвольно, так ются неизменными во время её рабо- одной ПАИС Anadigm AN231E04 выпол- как прямое проникновение излучае- ты, динамически конфигурируемая нена схема формирования импульсов мого сигнала в приёмную катушку и схема позволяет частично или полно- и вся аналоговая часть обработки отра- воздействие на неё отражённого сиг- стью изменять функциональную струк- жённого от металлических предметов нала разнесены во времени. В прин- туру работающего устройства в режиме сигнала. ципе, одна катушка может выполнять реального времени. Для этого в ПАИС роль как приёмной, так и излучаю- предусмотрена так называемая тене- ПРИНЦИП РАБОТЫ щей, однако в этом случае будет гораз- вая память, в которую во время рабо- до сложнее развязать высоковольтные ты аналоговой структуры с помощью ИМПУЛЬСНОГО ИНДУКЦИОННОГО выходные цепи генератора импульсов МЕТАЛЛОИСКАТЕЛЯ тока и чувствительные входные цепи Генератор Анализатор Блок (см. рис. 2). импульсов индикации Работа импульсного металлоискате- ля основана на принципе временно- Устройство коммутации предназна- Усилитель Усилитель го разделения излучаемого от катуш- чено для разделения излучаемого и ки детектора металлоискателя сигнала отражённого сигналов. Оно блокирует Передающая Приёмная и сигнала, отражённого от располо- входные цепи прибора на определён- катушка катушка женного под землёй металлического ное время, которое определяется вре- объекта. После воздействия импуль- менем действия импульса тока в излу- Рис. 1. Структурная схема импульсного са магнитной индукции в проводя- чающей катушке, временем разрядки индукционного металлоискателя щем объекте возникает, и некоторое катушки и временем, в течение кото- время поддерживается (вследствие рого возможно появление коротких Генератор Анализатор Блок явления самоиндукции) затухающий откликов прибора от массивных сла- импульсов индикации импульс тока, представляющий собой бопроводящих объектов типа грунта. задержанный во времени отражённый По истечении этого времени устрой- Усилитель Коммутатор Усилитель сигнал. Регистрируемый отражённый ство коммутации должно обеспечить сигнал несёт в себе полезную инфор- передачу сигнала с приёмной катуш- Приёмо-передающая мацию и подлежит дальнейшей обра- ки на блок обработки сигнала. Блок катушка ботке. обработки сигнала предназначен для преобразования входного электриче- Рис. 2. Структурная схема импульсного Импульсный металлоискатель состо- ского сигнала в удобную для восприя- индукционного металлоискателя ит из генератора импульсов тока, при- тия человеком форму. Он может быть с совмещённой приёмо-передающей катушкой ёмной и излучающей катушек (кото- рые могут быть совмещены в одной), 50 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 2 2018

ИНЖЕНЕРНЫЕ РЕШЕНИЯ сконструирован на основе решений, используемых в металлоискателях дру- гих типов. Импульсные индукционные металло- искатели рассматриваются как детек- торы, имеющие хорошую дальность обнаружения. К недостаткам импульс- ных металлоискателей следует отнести сложность идентификации объектов по типу металла, сложность аппарату- ры генерации и коммутации импульсов тока и напряжения большой амплиту- ды, высокий уровень радиопомех. СХЕМА МЕТАЛЛОИСКАТЕЛЯ Рис. 3. Катушка и макетная плата металлоискателя Катушка детектора является откры- но для такой цели используют один «земля» ПАИС). Данный метод позволя- той, имеет 17 см в диаметре, сделана из n-канальный МОП-транзистор. Одна- ет в полной мере использовать диффе- приблизительно 30 метров одножиль- ко в данном случае применены как ренциальную архитектуру ПАИС, так ного изолированного провода 24AWG. n-канальный, так и p-канальный МОП- как обе стороны катушки подключают- Сопротивление катушки составляет транзисторы. Такое решение обуслов- ся к дифференциальному входу ПАИС. около 2,5 Ом. На рисунке 3 изображена лено тем, что катушка должна быть пол- Применение МОП-транзисторов обу- катушка импульсного детектора метал- ностью изолирована от питания после словлено тем, что они имеют высокое ла со схемой управления цепями катуш- того, как выключаются МОП-ключи и напряжение пробоя, высокий рабо- ки, размещённой на отладочной плате прекращается подача напряжения на чий ток, пороговое напряжение ниже AN231K04-DVLP3. катушку, позволяя «подтянуть» её к 5 В (или больше –5 В для p-канального потенциалу VMR (+1,5 В – сигнальная транзистора) и малое время пере- Для получения хорошей дальности обнаружения металлоискателя необ- ходимо обеспечить большой ток в катушке в коротком импульсе. Обыч- ОФИЦИАЛЬНЫЙ ДИСТРИБЬЮТОР СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 2 2018 WWW.SOEL.RU 51

ИНЖЕНЕРНЫЕ РЕШЕНИЯ Рис. 4. Схема импульсного индукционного металлоискателя на базе ПАИС Anadigm AN231E04 тельностью низкого уровня 800 мкс. Это блок используется для формиро- Рис. 5. Окно параметров конфигурируемого аналогового модуля IntegratorHold вания электромагнитного импульса длительностью 200 мкс в катушке. ключения. МОП-транзисторы долж- защитить входные цепи микросхе- ● Вторичный импульсный блок. Пер- ны управляться драйверами, для чего мы от повреждений. Следует отметить, вичный импульс сбрасывает КАМ используются микросхемы TC4420, что многие импульсные индукцион- PeriodicWave. Данный КАМ исполь- питающиеся от внешнего источника ные схемы включают в себя защит- зуется для генерации вторичного питания +5 В. ные диоды, но в данном случае от их импульса, который поступает в мо- применения было решено отказаться дуль IntegratorHold (интегратор с При работе устройства происходит для уменьшения возможных искаже- защёлкой). PeriodicWave использу- чередование между состоянием покоя ний кривой затухания. ет таблицу LUT (Look-Up Table) для с низким током в течение 800 мкс и создания определённой пользовате- рабочим состоянием с током потре- ПРОЕКТИРОВАНИЕ СХЕМЫ лем формы сигнала. Также посред- бления более 1 А при подаче напря- В САПР ANADIGMDESIGNER®2 ством редактирования таблицы LUT жения на катушку детектора в тече- пользователь может задать ширину ние 200 мкс. Для подавления возника- На рисунке 4 представлена схе- вторичного импульса и его задерж- ющих при этом бросков напряжения ма, спроектированная в программе ку после заднего фронта первично- в схему (см. рис. 4) между +5 В и «зем- AnadigmDisigner®2. Схема состоит из го импульса. лёй» включён конденсатор ёмкостью следующих функциональных блоков: ● Катушка фильтра – фильтр низких 470 мкФ. Для снижения добротности ● Первичный импульсный блок пред- частот на входе от катушки, который катушки и подавления колебаний может использоваться как для усиле- при отключении тока используется ставляет собой конфигурируе- ния, так и для фильтрации сигнала. демпфирующий резистор. Обычно мый аналоговый модуль (КАМ) ● Интегратор с узкополосным филь- этот резистор имеет сопротивление OscillatorSawSqr, который выдаёт им- тром. Модуль IntegratorHold инте- от 470 до 820 Ом. Также потребуются пульсы пилообразной формы с ам- грирует сигнал на участке снижения два резистора 100 кОм между катуш- плитудой 2,5 В и частотой 1 кГц. Им- магнитного поля после выброса об- кой и ПАИС, так как скачки напря- пульсы поступают на компаратор, ратного напряжения. Этот процесс жения на катушке могут превышать чей отрицательный вход соединён с управляется вторичным импульсом. 500 В. В сочетании с защитой по вхо- +2 В. Таким образом блок формирует ● Выходной усилитель/фильтр – ду в ПАИС этого достаточно, чтобы прямоугольный сигнал с длительно- второй в схеме фильтр низких ча- стью высокого уровня 200 мкс и дли- стот, который усиливает выход- ной сигнал, приходящий от модуля IntegratorHold, и фильтрует имею- щийся шум. Угловая частота установ- лена на очень низком уровне (~1 Гц). Работа данного блока определяет от- клик детектора. На рисунке 5 показано окно пара- метров КАМ IntegratorHold. Данный модуль предназначен для интегрирова- ния входного сигнала в течение проме- жутка времени, задаваемого компара- тором. В данном случае вход компара- тора сравнивается с сигналом «земли», и высокий уровень на входе компара- тора заставит интегратор работать со скоростью, определяемой постоян- ной интегрирования. Когда на входе компаратора появляется низкий уро- вень, интегрирование останавливается, и КАМ удерживает уровень, при кото- ром интеграция сигнала прекратилась. РАБОТА СХЕМЫ На рисунке 6 в произвольном мас- штабе показан график изменения напряжения на катушке. Работа схе- мы начинается с формирования основ- ного импульса. Для этого на катуш- ку детектора подаётся напряжение от источника тока через управляемые 52 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 2 2018

ИНЖЕНЕРНЫЕ РЕШЕНИЯ МОП-транзисторы. Во время основ- 200 мкс 1 мс ного импульса напряжение на катушке будет плавно возрастать до некоторого Основной Выброс положительного напряжения, величи- импульс Спад магнитного поля на которого зависит от сопротивления катушки и сопротивления переходов Выброс двух МОП-транзисторов, подключён- обратного ных последовательно к источнику напряжения тока. В данном случае это напряжение составляет около 4 В. ~–500 В Для глубокого проникновения в Рис. 6. График изменения напряжения на катушке детектора грунт необходимо создать сильное маг- нитное поле. Соответственно, основ- +3 В diff Серебро/ Отсутствие ной импульс должен иметь доста- Основной золото металла точную длительность. Тем не менее, импульс импульс не должен быть и слишком 5 мкс/деление длинным (>250 мкс), так как это может 0В чрезмерно насыщать «землю», что сде- лает небольшие объекты «невидимы- –3 В diff Чёрный ми» из-за наличия фонового шума. металл Кроме того, большинство детекторов Обнаружение металла металла питаются от батареи, и для Выброс обратного снижения энергопотребления рабо- напряжения чий цикл основного импульса должен быть настолько коротким, насколько Определение это возможно. типа металла В конце основного импульса МОП- Рис. 7. Выброс напряжения и его последующее затухание после возникновения обратного транзисторы выключаются очень импульса быстро, и ток в катушке исчезает. Это вызывает обратный скачок напряже- +3 В diff Металл Отсутствие ния, который может достигать несколь- Основной металла ко сотен вольт. В данной системе с импульс 5 мкс/деление длительностью основного импульса 200 мкс обратный выброс достигал 0В значений близких к –500 В. Чем длин- нее будет основной импульс, тем выше –3 В diff Выброс обратного будут значения выброса. Ограничение напряжения на допустимую величину выброса опре- деляется напряжением пробоя МОП- Диапазон интегрирования транзисторов. Рис. 8. Кривая затухания, усиленная после фильтра нижних частот В большинстве систем использует- 2. Область обнаружения – кривая за- На рисунке 9 показан процесс инте- ся демпфирующий резистор с сопро- тухания, где обнаруживаются все ме- грирования модулем IntegratorHold тивлением от 470 до 820 Ом. Без демп- таллические предметы. части кривой затухания, пересека- фирующего резистора затухание про- ющей уровень 0 В. Зелёным цветом изойдёт недостаточно быстро, что не ДЕТЕКТИРОВАНИЕ МЕТАЛЛОВ показан сигнал с выхода интеграто- позволит получить положительного ра, который сначала возрастает до выброса (синяя кривая на рисунке 6). На рисунке 8 представлена та же значения +3 В, а затем спадает прак- В данной конструкции установлен кривая (см. рис. 7), но полученная при тически до 0 В. Последний, близкий демпфирующий резистор с номина- большем усилении и меньшей часто- к нулевому, уровень удерживается на лом 820 Ом. Это приводит к выбросу те среза входного фильтра. Любой выходе интегратора и затем может с последующим затуханием с неболь- металл, находящийся в непосред- быть усилен с помощью следующего шой продолжительностью. ственной близости от катушки, вызо- КАМ. Данный метод очень чувстви- вет изменение кривой затухания, кото- телен к любому изменению формы На рисунке 7 в увеличенном масштабе рая будет смещаться в отрицательную кривой. представлен выброс напряжения и его область. последующее затухание после возник- новения обратного импульса. Эти сиг- налы усилены на входе ПАИС билиней- ным фильтром низких частот. На графи- ке представляют интерес две области: 1. Дискриминация – выброс, где мож- но разделить серебро или золото и чёрные металлы. СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 2 2018 WWW.SOEL.RU 53

ИНЖЕНЕРНЫЕ РЕШЕНИЯ Выход Таким образом, настройка схемы сво- интегратора дится к тому, чтобы значение на выхо- де модуля IntegratorHold было близко Вход Отсутствие к нулю при отсутствии металла рядом интегратора металла с катушкой детектора, затем, повышая коэффициент усиления можно увели- чить чувствительность дискриминато- ра до необходимого уровня. ЗАКЛЮЧЕНИЕ Программируемые аналоговые микросхемы могут стать незаменимым инструментом в приложениях, требую- щих малого времени отклика при обра- Выход ботке аналоговых сигналов с высокой интегратора точностью: в PID-регуляторах, в ана- Вход Металл интегратора логовых фильтрах высокого поряд- ка, в обработке сигналов от датчиков, нейронных сетях и т.п. Использование ПАИС Anadigm позволяет отказаться он значительной части внешних навесных Диапазон интегрирования элементов, тем самым уменьшая габа- риты устройства и повышая его надёж- Рис. 9. Интегрирование кривой затухания ность. В статье рассмотрен пример построе- Для того чтобы максимально увели- ния металлоискателя импульсного типа чить чувствительность детектора, необ- ходимо настроить схему таким обра- на базе одной ПАИС Anadigm AN231E04. зом, чтобы выходной сигнал интегра- Отсутствие тора был как можно ближе к нулю при На выбранной микросхеме выполне- металла отсутствии какого-либо металла вблизи катушки. Для грубой настройки диапа- зон интегрирования может быть изме- на схема формирования импульсов и нён посредством корректировки спра- вочной таблицы в КАМ PeriodicWave. вся аналоговая часть обработки сигна- Для более точной настройки применя- ется корректировка частоты среза вход- ла. Применение встроенного в ПАИС ного фильтра. конфигурируемого аналогового модуля ОПРЕДЕЛЕНИЕ ТИПА МЕТАЛЛА IntegratorHold (интегратора с узкопо- Для разделения металлов по типу используется более низкий коэф- лосным фильтром) позволяет анализи- фициент усиления и более высо- кая частота среза во входном филь- ровать различные участки отражённого тре (см. рис. 7). Затем производится Выход интегрирование кривой затухания, от металлических предметов сигнала и интегратора начиная с момента выброса обрат- ного напряжения и заканчивая после определять тип обнаруженного метал- пересечения кривой нулевого уров- Чёрный ня (см. рис. 10). Параметры фильтра ла – серебро/золото или железо. металл подобраны таким образом, чтобы в тот момент, когда вблизи катушки нет Выход металла, выходной сигнал интеграто- ЛИТЕРАТУРА интегратора ра был близок к нулю. Наличие чёр- ного металла вблизи катушки сдвига- 1. Щерба А. Конфигурационный протокол Серебро/ ет кривую вправо и вниз, вызывая на динамически программируемых анало- золото выходе интегратора отрицательное говых схем Anadigm. Компоненты и тех- напряжение. Предметы из серебра нологии. 2009. № 12. Выход или золота рядом с катушкой смеща- интегратора ют кривую влево, и на выходе инте- 2. Щерба А. Построение входных и выход- гратора появляется положительный ных цепей программируемых аналого- Диапазон сигнал (см. рис. 10). вых схем Anadigm. Компоненты и техно- интегрирования логии. 2008. № 12. Рис. 10. Определение типа металла путём 3. Полищук А. Система автоматизирован- интегрирования кривой затухания отражённого ного проектирования программиру- от предмета сигнала емых аналоговых интегральных схем AnadigmDesigner2. Часть 1. Первый шаг: знакомство с интерфейсом. Компонен- ты и технологии. 2005. № 6–7. 4. Щерба А. Программируемые аналоговые ИС Anadigm: применение конфигуриру- емых аналоговых модулей в составе про- граммы AnadigmDesigner2. Компоненты и технологии. 2007. № 12. 5. Application Note: State-Driven Control of a dpASP using a Microchip PIC. App Note 206. Anadigm, 2008. 54 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 2 2018

Реклама

ИНЖЕНЕРНЫЕ РЕШЕНИЯ НОВОСТИ МИРА Задуманная в качестве инструмента по- Бизнес-пользователи могут адаптировать вышения производительности труда при приложения к своим нуждам, кодирование НОВАЯ ПЛАТФОРМА IIOT получении и обработке отраслевых и кор- не требуется. Опытные пользователи, рабо- поративных данных, Webalo 4.0 исполь- тающие на производстве, могут визуализи- НЕ ТРЕБУЕТ ПРОГРАММИРОВАНИЯ зует патентованную технологию, которая ровать данные и дополнять, адаптировать Компания Webalo из Лос-Анджелеса, кото- даёт корпоративным пользователям воз- или оптимизировать программные бизнес- можность быстро и автоматически генери- инструменты и приспосабливать их к своим рая разрабатывает платформу потребитель- ровать динамические настольные и мобиль- повседневным бизнес-задачам. Изученные ского класса для удобной работы и нацеливает ные приложения в соответствии с личными ими комбинации клавиш могут в итоге сэко- её на решение задач промышленного Интерне- предпочтениями благодаря программным номить компании время и деньги. та, выпустила версию 4.0 своей платформы ге- помощникам и выпадающим меню. нерирования приложений без написания кода. К основным особенностям Webalo 4.0 от- носятся следующие: Компания объявила об этом 25 октября ● соответствие приложений личным предпо- 2017 года в Сан-Франциско на конферен- ции GE Minds + Machines. чтениям без необходимости написания ко- да – достаточно просто подключиться, скон- ОФИЦИАЛЬНЫЙ ДИСТРИБЬЮТОР фигурировать и развернуть процесс для автоматического генерирования персонали- зированных приложений, обеспечивающих специфические потребности пользователей; ● ориентированные на пользователя «сме- си» данных – первая в своём роде способ- ность составлять «смеси» данных позво- ляет пользователям в единой среде про- сматривать несколько потоков данных и взаимодействовать с ними; ● динамическая визуализация данных – авто- матически генерируемые варианты визуа- лизации, которые могут включать ключевые показатели производительности, интер- активные диаграммы, форматированные таблицы, карты и широкий спектр других виджетов, создавая адаптируемые поль- зователями активные приборные доски; ● множество коннекторов данных – под- ключение к корпоративным данным, та- ким как данные из систем IBM, Oracle, Microsoft и SAP, данным GE, включая Predix, APM, BMS, ServiceMax и дру- гим промышленным данным из реше- ний таких производителей, как Rockwell, Siemens и Wonderware; ● многоканальность – приложения Webalo без адаптации работают на смартфонах и планшетах с Android и iOS в дополнение к браузерам Chrome, Edge, Firefox и Safari на настольных ПК с большими дисплеями; ● действенность и двунаправленность – позволяет пользователям углубляться в данные, запускать потоки работ и биз- нес-процессы, вводить, захватывать, хра- нить, пересылать, записывать и просма- тривать данные; ● тревожные сообщения и уведомления – автоматическое ведение мониторинга каждой среды реального времени и опо- вещение пользователей о требующих ре- агирования событиях посредством актив- ных уведомлений, которые запускают по- токи работ и бизнес-процессы. www.itweek.ru 56 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 2 2018

Реклама

ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ Проектирование автомобильных радаров и антенных систем в NI AWR Design Environment Милтон Лиен, Дэвид Вай, NI AWR ● LCA – помощь при смене полосы дви- жения (70 м, ±75°); Последние достижения в области разработки систем помощи водителю (ADAS, Advanced Driver Assistance Systems) позволяют значительно ● CTA – оповещение о поперечном дви- улучшить функциональность и доступность автомобилей, способных жении (30 м, ±75°); предупреждать водителя об опасностях и оказывать ему содействие в сложных дорожных ситуациях. В основе таких систем, как правило, ● FCW – предупреждение о столкнове- лежат технологии радиолокации в диапазоне 76–81 ГГц. Данная статья нии спереди (70 м, ±65°); посвящена рассмотрению задач и проблем, решаемых при разработке радиолокационных систем и антенных устройств миллиметрового (КВЧ) ● FCM – предотвращение столкнове- диапазона для умных транспортных средств следующего поколения. ния спереди (70 м, ±65°); ОБЗОР СИСТЕМ ПОМОЩИ тают в диапазоне дальности от 30 до ● RCW – предупреждение о столкнове- ВОДИТЕЛЮ (ADAS) 200 метров. Радары ближнего дей- нии сзади (70 м, ±65°); ствия (РБД) покрывают область про- Для получения высших рейтингов странства на расстоянии до 30 метров ● S&G – система «стоп-старт» (70 м, безопасности производители автомо- от автомобиля. В настоящее время в ±65°). билей оборудуют новые модели систе- гибридных конструкциях довольно Технические преимущества частоты мами помощи водителю, которые часто используется частота 24 ГГц, на посредством набора различных дат- которой работают радары ближнего 77 ГГц заключаются в меньших разме- чиков решают задачи по обеспечению действия, однако к 2022 году ожида- рах антенн (примерно в три раза мень- безопасности движения. В настоящее ется полный отказ от этой техноло- ше по сравнению с 24 ГГц), большей время подобные системы строятся на гии в пользу частоты 77 ГГц. В систе- допустимой мощности излучения и, что основе видеосистем и радаров, работа- мах следующего поколения часто- самое главное, более широкой полосе ющих на частотах 24 или 77 ГГц. Видео- та 77 ГГц должна обеспечить работу пропускания, позволяющей повысить системы отвечают за такие функции, радаров как дальнего, так и ближне- разрешение обрабатываемых объектов. как предупреждение о выходе за пре- го действия. На рисунке 1 приведена Усовершенствование способов моду- делы полосы движения, распознавание схема применения РБД и РДД в совре- ляции сигнала и технологий управле- дорожных знаков, однако стабильность менных системах помощи водителю. ния лучом диаграммы направленности их работы подвержена влиянию атмо- В схему включены следующие систе- (ДН), а также появление новых архи- сферных условий, особенно осадков мы (в скобках указаны дальность дей- тектур систем и развитие полупрово- в виде снега или тумана, а также силь- ствия и поле обзора): дниковых технологий ведут к актив- но ограничена расстоянием до объек- ● ACC – адаптивный круиз-контроль ному внедрению радаров миллиме- та наблюдения. трового диапазона в интеллектуальные (150–200 м, ±8°); системы помощи водителю следующе- С другой стороны, радары дальне- ● BSD – обнаружение слепых зон (10 м, го поколения. го действия (РДД) достаточно мно- гофункциональны и надёжно рабо- ±75°); Для того чтобы подстроиться под новые реалии, разработчикам радаров Рис. 1. Составные части системы помощи водителю и поля обзора необходимы средства радиочастотно- го (РЧ) проектирования, способные обеспечить моделирование радиоло- кационных систем с подробным ана- лизом компонентов РЧ-трактов, нели- нейных цепей и антенных устройств. Совмещение системного моделирова- ния со схемотехническим и электро- магнитным (ЭМ) анализом позво- ляет точно воспроизводить параме- тры системы при проектировании и экономить средства на дорогостоя- щих этапах создания и тестирования прототипа разрабатываемого радара. Программное обеспечение NI AWR в рамках единой платформы обладает всеми необходимыми функциональ- ными возможностями и позволяет осу- ществлять полный цикл проектиро- вания – от эскиза архитектуры и под- бора типа модуляции до разработки реальных образцов антенн и инте- гральных схем РЧ-трактов на основе 58 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 2 2018

ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ кремния или полупроводников груп- Сравнение архитектур радаров и их параметров: обнаружение цели, дальность, устойчивость пы АIII-ВV. и разрешение Платформа NI AWR Design Environ- Типы Импульсно- Радар с ЛЧМ Радар с ЧМн Сверхшироко- ment объединяет в себе важнейшие радаров доплеровский полосный радар технологии моделирования радиоло- кационных систем и позволяет автома- Информация радар Импульс Дирака. тизировать выполнение самых слож- Измерение ных задач, решаемых разработчика- Описание Передача Незатухающий Частотная времени пролёта – ми систем помощи водителю. В число автокорреляция таких задач входят: короткого сигнал с линейной манипуляция ● выбор формы сигнала, обработка мо- импульса на одной частотной с шагом 1 МГц. дулирующих сигналов, оценка пара- метров системы со специализирован- несущей модуляцией. Интервал для ным набором измерений, поведен- ческих моделей РЧ-компонентов и Обычно – каждой частоты – методов обработки сигнала; ● проектирование, схемотехнический пилообразный 5 мс. Дальность анализ и моделирование приёмо-пе- редающих РЧ/КВЧ-трактов для соз- сигнал с полосой определяется по дания печатных плат и монолитных или радиочастотных интегральных 100–150 МГц сдвигу фазы схем (МИС или РЧИС соответствен- но); Преимущества Простой алгоритм Высокая точность Модуляция может Простой принцип ● планарный и трёхмерный ЭМ-анализ измерения по дальности. пассивных структур, сложных соеди- расстояния Простой расчёт осуществляться действия. нений, корпусов, а также антенн и ан- относительной тенных решёток; скорости и ГУН (генератором, Благодаря ● возможность работы с измеритель- дальности ным оборудованием. управляемым широкой полосе АРХИТЕКТУРЫ РАДАРОВ напряжением). возможны И ТИПЫ МОДУЛЯЦИИ Быстрый цикл измерения в В системах адаптивного круиз-кон- измерения ближней зоне троля (АКК) измерения скорости и рас- стояния до впереди идущего автомоби- Недостатки Сложно Необходимость Для точности Малая и средняя ля должны выполняться с высокой точ- дальность. ностью и разрешающей способностью, определить расчёта для необходим Невозможность необходимой для обработки несколь- прямого измерения ких движущихся целей, например при скорость определения когерентный скорости движении по автомагистрали. Для рабо- сближения. ты более сложных систем безопасно- сближения. ложных целей. сигнал. Низкая Чувствителен сти, например систем предотвращения к помехам столкновений (СПС) или автономного Невозможность Длительное время точность вождения (САВ), требуется ещё более высокая надёжность и значительно одновременной измерения для определения меньшее время реакции по сравнению с обычными АКК, которые работают с передачи и приёма нескольких целей направления достаточно длинными измерительны- ми сигналами (50–100 мс). сигнала Важными требованиями к автомо- f(t) Передаваемые сигналы бильным радарам являются максималь- f Принимаемые сигналы ная дальность для АКК – 200 м, разреше- fShift ние по дальности – около 1 метра, раз- sweep решение по скорости – 2,5 км/ч. При проектировании систем, удовлетворя- f t ющих этим требованиям, используются различные архитектуры и типы моду- B Интервал когерентной ляции, включая незатухающий и клас- обработки сигнала сический импульсный сигналы. fA2 f Основным преимуществом систем с незатухающим сигналом перед класси- A1 ческими импульсными системами явля- T B Рис. 2. Многочастотная манипуляция ется относительно малое время изме- случае представляет интерес техноло- рения и простота их обработки при гия, объединяющая ЛЧМ и ЧМн, – мно- одинаковом разрешении на большой гочастотная манипуляция (МЧМн). дистанции. Два самых распространён- МЧМн была разработана специаль- ных типа модуляции незатухающих но для применения в автомобильных сигналов – линейная частотная моду- радарах и основана на использовании ляция (ЛЧМ) и частотное манипулиро- двух или более передающих частот fA вание (ЧМн), использующие как мини- и fB с определённым смещением fShift, мум две различные дискретные переда- полосой пропускания fsweep и длитель- ющие частоты. В таблице сравниваются ностью импульса, как это показано на различные архитектуры радаров, при- рисунке 2 [1]. водятся их преимущества и недостатки. При использовании импульсных Одновременные измерения дально- радаров относительная скорость может сти и относительной скорости крайне быть определена посредством излуче- важны в системах АКК. Радары с ЛЧМ и ния последовательных импульсов с ЧМн вполне удовлетворяют этим тре- помощью когерентного приёмопере- бованиям, однако первым требуются датчика и измерения межимпульсных несколько циклов измерений и мате- фазовых вариаций, содержащих допле- матические алгоритмы для получения ровскую частоту. В случае импуль- лучшей точности, а вторым не хвата- сно-доплеровского радара дальность ет разрешения по дальности. В этом по-прежнему измеряется по времени СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 2 2018 WWW.SOEL.RU 59

ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ Рис. 3. Разработка импульсно-доплеровского радара в VSS Рис. 4. Схема блока передатчика с фильтрами, усилителем и преобразователем частоты 25%. Модель цели определяется допле- ровским сдвигом частоты и расстояни- распространения сигнала. Для совмест- включая генерацию сигнала, его пере- ем до цели, а углы прихода (THETA/PHI) ного измерения дальности и относи- дачу, коммутацию, работу антенн, учёт задаются в конфигурационном фай- тельной скорости критически важным радиолокационных помех, шума и ле данных и изменяются во времени. параметром является частота повторе- подавления, а также приём и обработ- Для описания отражённого сигнала ния импульсов. ку сигнала. для различной скорости и дальности до цели генерируются доплеровская При выборе архитектуры и метода Рабочее пространство VSS показа- частота и задержка распространения. модуляции важным является не толь- но на рисунке 3. В данном примере В проект также может быть включена ко соответствие заданным характери- построена система АКК со схемой моду- модель помех и указана форма спектра стикам, но и минимизация издержек ляции, моделью радиоканала и изме- мощности. В данном примере для уров- на разработку и производство систе- рительными блоками. Система соз- ня помех было выбрано распределение мы. Это становится возможным при дана на основе импульсно-доплеров- Рэлея, а спектр мощности определялся помощи специального модуля NI AWR ского радара с генератором сигнала распределением Вейбулла. Design Environment – Visual System (Linear Chirp Generator), передатчиком Simulator™ (VSS), который, будучи мощ- (RF Transmitter), антенной, источни- Приведённый на рисунке 4 пере- ным инструментом системного уров- ком помех, приёмником (RF Receiver), датчик состоит из генератора, сме- ня, предлагает полный перечень самых системой обнаружения движущихся сителя, усилителя и фильтров. Пара- необходимых разработчикам техноло- целей (Moving Target Detection), обра- метры передатчика, такие как коэф- гий моделирования, блоков элементов ботчиком постоянной вероятности фициент усиления, рабочая полоса и радиосистем и методов обработки сиг- ложных тревог (Constant False Alarm частота несущей, определяются требо- налов, а также поддерживает написание Rate) и детектором сигналов. ваниями к системе и характеристиками пользовательских скриптов и алгорит- используемых компонентов. Аналогич- мов. VSS прекрасно справляется с моде- Уровень ЛЧМ-сигнала установлен ным образом определяются и параме- лированием работы сложных систем, на 0 дБм, частота повторения – 2 кГц, тры приёмника. Для работы с реальны- коэффициент заполнения (DUTY) – ми электронными компонентами приё- мопередатчика у разработчика имеется возможность ко-симуляции на систем- ном и схемотехническом уровнях при помощи Microwave Office. Как будет показано далее, взаимодействие меж- ду электронной составляющей приё- мопередатчика и антенной может быть воспроизведено благодаря совместно- му системному, схемотехническому и электромагнитному моделированию. Для более эффективной работы с перемещающимися в пространстве 60 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 2 2018

ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ Блок синхронизации приёма/передачи Модель цели Коммутатор передачи Передающая антенна Коммутатор с файлом ДН приёма Приёмная антенна с файлом ДН Генератор помех Помехи отражения Рис. 5. Схема СОДЦ с приёмной и передающей антеннами и каналом распространения сигнала Формы сигнала ДН антенны Метрики системы Рис. 6. Результаты моделирования параметров системы объектами используется система обна- модели СОДЦ группируются по дально- использования. При моделировании ружения движущейся цели (СОДЦ). сти и доплеровскому сдвигу цели. Для сигнал радара может быть заменён на В основе работы СОДЦ лежат высоко- установки порога принятия решения в любой заранее подготовленный сиг- производительные алгоритмы обработ- соответствии с требованиями к систе- нал. Система VSS обладает мощны- ки сигналов импульсно-доплеровского ме используется обработчик постоян- ми возможностями для дальнейше- радара. Набор доплеровских фильтров ной вероятности ложных тревог. На го совершенствования конструкции или операторов быстрого преобразова- рисунке 5 представлена схема СОДЦ с радара, учёта сложных особенностей ния Фурье (БПФ) перекрывает все воз- обработчиком ложных тревог. распространения сигнала (например, можные доплеровские сдвиги цели, а отражение от земли и многолучевое данные, получаемые на выходе СОДЦ, Этот относительно простой пример замирание), а также для разработки используются для обработки постоян- можно использовать как шаблон для требований к параметрам трактов при- ной вероятности ложных тревог. Сиг- различных применений импульсно- ёмопередатчика и ДН антенны. нал радара измеряется во временно′й доплеровских радаров. Сигнал рада- области на входе приёмника. Посколь- ра определяется частотой повторе- Результаты моделирования в VSS при- ку отражённый от цели сигнал часто ния импульсов (PRF, pulse repetition ведены в виде графиков на рисунке 6. загрязнён помехами и шумом, обнару- frequency), уровнем мощности, дли- Среди них – формы переданного и при- жение цели при помощи СОДЦ произ- тельностью импульса и коэффициен- нятого сигнала, ДН антенны, а также водится в частотной области. Данные в том заполнения. Эти параметры мож- относительная скорость и дальность но изменять в зависимости от сценария цели. При моделировании расстоя- СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 2 2018 WWW.SOEL.RU 61

ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ Частота Передаваемый сигнал Принимаемый сигнал Время Частота Время Рис. 7. Двухпозиционный мультимодовый радар средней дальности Рис. 8. Многодиапазонный радар для АКК с ЛЧМ-сигналом на основе Bosch с тремя передатчиками и четырьмя приёмниками 6 передающих патч-антенн с последовательным возбуждением ние до цели изменяется, имитируя ные сенсоры на основе многоканаль- Эффективность радара в конкрет- приближение и последующее удале- ных приёмопередатчиков (см. рис. 7). ном применении сильно зависит от ние цели относительно стационар- Такие конструкции могут создаваться электрических характеристик антенны ного радара. Движение цели приво- благодаря использованию, например, (усиление, ширина главного лепестка дит к изменению знака доплеровской архитектуры ЛЧМ-радара и цифрового ДН и т.п.) и её конструктивного испол- частоты с отрицательного на положи- формирования ДН антенной решётки. нения. Приёмные и передающие антен- тельный (красная линия на правом ны в данном примере были оптимизи- графике) и обращению в ноль рассто- ПРОЕКТИРОВАНИЕ АНТЕННЫ рованы по параметрам дальности, угла яния до цели в момент прохождения обзора и подавления боковых лепест- цели мимо радара. В случае примене- Мультимодовый радар для системы ков ДН. Патч-антенны достаточно про- ния радара в системе АКК данные о АКК [2] построен на основе ЛЧМ-радара сты в проектировании и производстве скорости и расстоянии будут являться с несколькими антенными решётка- и хорошо работают при объединении основными критериями для принятия ми с цифровым формированием луча в решётки, что благоприятно сказы- решения об изменении скорости дви- (см. рис. 8). Основными компонентами вается на коэффициенте усиления и жения и/или предупреждении водите- представленной схемы являются пере- направленности. ля об опасности. дающая антенна (TX Antenna), приём- ная антенна (RX Antenna), коммутатор Характеристики прямоугольной МНОГОДИАПАЗОННЫЕ МОДУЛИ передачи (TX Switch), коммутатор при- патч-антенны зависят от её дли- ёма (RX Switch), МШУ (LNA), ФНЧ (LPF), ны, ширины, толщины диэлектри- Как правило, система «стоп-старт» смеситель (Mixer), АЦП (ADC), развет- ка и диэлектрической проницаемо- в АКК строится на основе несколь- витель (Coupler), генератор (Oscillator), сти. Длина отдельного патча опреде- ких радаров ближнего и дальнего дей- ГУН (VCO), ФАПЧ (PLL), плата ЦОС ляет резонансную частоту, в то время ствия для обнаружения находящихся (Digital Signal Processing Board). Много- как изменение его ширины будет вли- поблизости автомобилей. Радар ближ- диапазонный радар с цифровым фор- ять на ДН и значение входного импе- него действия обычно работает на даль- мированием ДН работает на частотах данса. Последний можно уменьшить, ности до 60 м с полем обзора ±45°, что 24 и 77 ГГц, переключаясь между дву- увеличив ширину полоска′, однако позволяет обнаруживать автомобили, мя антенными решётками для выбо- для получения входного импедан- движущиеся по смежным полосам и ра контроля узкой области простран- са 50 Ом может потребоваться слиш- способные в любой момент перестро- ства на большой дальности (150 м, ±10°) ком широкий полосок, что не всегда иться на текущую полосу движения. или широкой области на малой даль- оптимально с точки зрения эффек- Радар дальнего действия охватывает ности (60 м, ±30°). В данном примере тивности использования поверхно- область пространства на расстоянии используется многоантенная систе- сти платы. Большая ширина полоска′ до 250 м и в более узком поле от ± 5° до ма: одна патч-антенна с последова- также означает увеличение рабочей ±10°, тем самым контролируя текущую тельным возбуждением (SFPA, series- полосы. К этому же результату приве- полосу движения на большем удалении fed patch antenna) из 12 элементов на дёт и увеличение толщины подлож- от автомобиля. Для работы в различных 24 ГГц для работы на большой даль- ки. Малое значение диэлектрической диапазонах дальности и при различ- ности, пять 12-элементных антенн на проницаемости приводит к уширению ных углах обзора разработчики модуль- 77 ГГц для работы на малой дальности краевых полей и более эффективному ных решений (такие как Bosch, DENSO и четыре 12-элементных SFPA для при- излучению. Уменьшение диэлектриче- и Delphi) предлагают многодиапазон- ёмной части системы. ской проницаемости также увеличи- 62 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 2 2018

ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ S11 of element Частота (ГГц) Излучение Broadside Gain в H-плоскости Частота (ГГц) Интенсивность поля (дБ) Рис. 9. Оптимизация патч-антенны с боковым возбуждением в AXIEM по коэффициентам отражения (S11 of element) и усиления (Broadside Gain) Рис. 10. Моделирование решётки 8×16 с параллельным возбуждением от одного порта в AXIEM вает рабочую полосу антенны и КПД ДН Коэффициент отражения Рабочая полоса антенны. Частота (ГГц) Частота (ГГц) Проектирование отдельной поло- сковой антенны или массива патч- Рис. 11. Оптимизация линейного массива из 8 патч-антенн по размерам элементов антенн возможно при помощи сре- ды проектирования, в которой для жащей 167 тыс. переменных, менее чем из 8 элементов подключён к другому моделирования и оптимизации за 6,5 минут. отрезком подстраиваемой линии пере- используется электромагнитный дачи. В приведённом примере длина и анализ. В NI AWR Design Environment Для определения физических пара- ширина каждого элемента и соединя- для этих целей встроены планарный метров антенны, которые обеспечат ющих отрезков линии передачи были ЭМ-симулятор AXIEM и трёхмер- требуемые электрические характери- определены как переменные для опти- ный ЭМ-симулятор на основе мето- стики, удобно использовать инстру- мизации характеристик всей решётки. да конечных элементов Analyst™. мент синтеза и оптимизации антенн Для создания антенны с неподвижным Эти мощные инструменты способ- AntSyn™. AntSyn™ представляет собой лучом и высоким коэффициентом уси- ны не только рассчитать характе- интерфейс, позволяющий инжене- ления массив 1×8 может быть расши- ристики антенны (ДН в ближней и ру ввести необходимые параметры и рен до решётки 8×8, как это показано дальней зоне, входной импеданс и ограничения по размерам антенны, на рисунке 12 [3]. поверхностные токи), но и автома- после чего программное обеспече- тически использовать результаты ние самостоятельно исследует наибо- В NI AWR Design Environment антен- ЭМ-анализа антенны в составе всей лее подходящие конструкции антенн ные решётки можно моделировать с системы радара, не прибегая к ручно- и определит их оптимальные пара- помощью VSS благодаря наличию му экспорту/импорту данных между метры с помощью специальных алго- встроенной поведенческой модели ЭМ-симулятором и средой системно- ритмов и полноценного ЭМ-анализа. ФАР, которая позволяет задать пара- го проектирования. Получившаяся в результате конструк- метры конфигурации решётки (чис- ция антенны может быть импортиро- ло элементов, разнесение, ДН антен- Симуляторы AXIEM и Analyst™ позво- вана в наиболее подходящий планар- ны, неисправные элементы, ампли- ляют провести анализ электрических ный или 3D ЭМ-симулятор (AXIEM или тудное распределение и т.д.). Такой характеристик антенны на основе Analyst™) для верификации или даль- подход лучше всего применим к боль- задаваемых пользователем физиче- нейшего анализа и оптимизации. шим массивам антенн (более тысячи ских параметров: длины и ширины элементов) и рекомендуется инжене- полоска′, толщины подложки и ди- Планарные конструкции легко объ- рам-разработчикам систем, определя- электрической проницаемости. AXIEM единять в массивы, комбинируя доста- ющим базовые требования к антенно- идеально подходит для моделирова- точно простые элементы, такие как му устройству. ния полосковых антенн (см. рис. 9), микрополосковые излучатели. Их мож- в то время как Analyst™ скорее пред- но соединить последовательно, как Антенные решётки можно деталь- назначен для трёхмерных структур – показано на рисунке 11, где каждый но моделировать и в AXIEM/Analyst™, например, коаксиального фидера или ограниченного диэлектрика (когда близость края печатной платы будет влиять на параметры антенны). На рисунке 10 представлен результат моделирования в AXIEM антенной решётки с параллельным возбужде- нием. На 4-ядерном процессоре AXIEM выполнил решение этой задачи, содер- СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 2 2018 WWW.SOEL.RU 63

ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ E- и H-плоскость Коэффициент отражения подстройка А1 и А2 ДН интенсивность поля (дБ) Частота (ГГц) Рис. 12. Антенная решётка 8×8 на 77 ГГц Рис. 13. Моделирование решётки патч-антенн из 16 элементов с индивидуальным возбуждением каждого полоска′ Частота (ГГц) например, от зданий или дорожных Коэффициент усиления ограждений. Помимо прямых отраже- ний, будет иметь место и многолуче- Излучение в H-плоскости Излучение в Е-плоскости вое отражение между самими объекта- ми. Для ослабления помех от подобных Частота (ГГц) явлений могут применяться антенны MIMO (multiple-input-multiple-output, Рис. 14. Моделирование решётки 8×8 элементов на плате RO4003C размером 2,3×2,5 см многоканальный вход – многоканаль- ный выход). Принцип работы рада- задавая индивидуальное возбуждение ду шириной главного лепестка ДН и ра MIMO основан на использовании каждого элемента. На рисунке 13 пока- входным импедансом каждого элемен- нескольких антенн, каждая из кото- зан процесс моделирования решётки та, что, в свою очередь, даёт возмож- рых излучает сигнал произвольной патч-антенн из 16 элементов с инди- ность разработчику РЧ-трактов подо- формы независимо от других пере- видуальным возбуждением каждого брать компоненты с учётом влияния дающих антенн. Каждая из приёмных полоска′. Совместное моделирование нагрузочного импеданса на параметры антенн независимо принимает отра- на электромагнитном и схемном уров- приёмопередатчика. Это подчёркива- жённые сигналы. Поскольку сигналы от не позволяет оценить изменение вход- ет важность совместного моделирова- каждой передающей антенны различ- ного импеданса антенны для каждого ния на схемотехническом, системном и ны, принятые сигналы можно соотне- элемента, а также управлять формой ДН электромагнитном уровнях для точно- сти с соответствующим передатчиком. посредством задания сигналов возбуж- го исследования взаимодействия элек- Если взять N передатчиков и K приём- дения патчей. На рисунке 14 представ- троники и антенн перед изготовлением ников, то получим группу из K×N эле- лен процесс моделирования системы прототипов сложных систем. ментов, то есть виртуально апертура 8×8 элементов на плате RO4003C разме- будет увеличена, а это означает, что ром 2,3×2,5 см [2]. Параллельное возбуж- MIMO И СПОСОБЫ УПРАВЛЕНИЯ требуемое число элементов реальной дение обеспечивает формирование ДН ПОЛОЖЕНИЕМ ДН решётки можно сократить. Системы излучения с коэффициентом усиления MIMO позволяют улучшить простран- более 20 дБи и рабочей полосой более Радары, установленные на транс- ственное разрешение и обеспечивают 1 ГГц. Цепь питания также выполнена в портных средствах, обязательно будут высокую помехозащищённость. Благо- AXIEM/Analyst™. Такой подход позволя- подвержены влиянию нежелательных даря увеличенному отношению сиг- ет подробно изучить взаимосвязь меж- отражений от земли и крупных стацио- нал/шум повышается и вероятность нарных объектов вокруг автомобиля – обнаружения цели. Модуль VSS позволяет реализовать пользовательские алгоритмы MIMO и оценить общую производительность системы (см. рис. 15). Для решения подобных задач применяется гибко настраиваемая модель многолучево- го замирания, учитывающая потери на распространение, относительную скорость между передатчиком и при- ёмником, а также максимальное допле- ровское уширение. Канал распростра- нения сигнала может описываться раз- 64 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 2 2018

ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ личными функциями распределения Коэффициент битовых ошибок (распределения Рэлея, Райса и др.), которые независимо или последо- вательно могут быть индивидуально настроены по типу замирания, задерж- кам, относительному усилению и дру- гим параметрам. Данный модуль так- же можно использовать для моделиро- вания приёмной антенной решётки с заданной пользователем геометрией, например для систем с одноканаль- ным входом и многоканальным выхо- дом (SIMO). ЗАКЛЮЧЕНИЕ Рис. 15. Моделирование алгоритмов MIMO/SIMO в VSS Системы помощи водителю стано- позволяют разработчикам антенн и 2001 CIE International Conference on вятся всё более сложными и надёжны- системным интеграторам оптимизи- Radar, Proceedings. ми. Такими системами в самом бли- ровать проектируемые устройства в 2. S.-H. Jeong et al. A Multi-beam and Multi- жайшем будущем будут оснащены условиях ужесточающихся требова- range Radar with FMCW and Digital Beam- многие, если не все автомобили. Повы- ний к размерам, стоимости и надёж- forming for Automotive Applications. шенная безопасность транспортных ности систем. Progress in Electromagnetics Research, средств – это результат активных иссле- Vol. 124, 285–299, 2012. дований в области антенных систем и ЛИТЕРАТУРА 3. Jri Lee, Yi-An Li, Meng-Hsiung Hung and технологий волн миллиметрового диа- Shih-Jou Huang. A Fully-Integrated 77-GHz пазона, в том числе и в смежной отрас- 1. H. Rohling, M. Meinecke. Waveform FMCW Radar Transceiver in 65-nm CMOS ли сетей связи 5-го поколения. Продви- Design Principles for Automotive Technology, IEEE Journal of Solid-State нутые технологии схемотехнического Radar Systems. Technical University of Circuits, Vol. 45, № 12, December 2010. РЧ-анализа, моделирования массивов Hamburg – Harburg/Germany, Conference: антенн и системной ко-симуляции Реклама WWW.SOEL.RU 65 СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 2 2018

ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ Полигональные объекты печатной платы в среде Altium Designer. Polygon: редактирование и управление, менеджер полигонов Часть 3 Алексей Якубенко ([email protected]) Часто возникает ситуация, когда необходимо отредактировать топо- В предыдущих частях статьи речь шла об общих приёмах работы логию в том месте, где наложен поли- с полигональными объектами. Были рассмотрены такие полигональные гон. Естественно, сам полигон при этом объекты, как Fill, Region и Keepout, внимание также было уделено будет сильно мешать. На этот случай в вопросу формирования полигонального объекта Polygon. среде AD предусмотрена возможность Данная часть заключительная. Она посвящена вопросам редактирования отключения полигона. Для этого пред- полигонов и управления ими, а также такому инструменту, как менеджер назначены команды Shelve Selected и полигонов. Shelve All. Первая скрывает выделенные полигоны, а вторая – все, присутствую- ГРАФИЧЕСКОЕ РЕДАКТИРОВАНИЕ Чуть ниже будет сказано, как это сде- щие на ПП. Полигоны остаются в PCB- лать. файле и могут быть восстановлены. ПОЛИГОНА Подавляющее большинство действий Все основные команды, касаю- Нередки случаи, когда два или более щиеся графического редактирова- полигона пересекаются. В таком случае с полигонами начинается с их выделе- ния полигона, можно найти, щёл- последовательность заливки полигонов ния ЛКМ. Но здесь есть одна особен- кнув по выделенному полигону ПКМ будет сильно влиять на их финальную ность: в отличие от всех остальных и выбрав в выпадающем меню пункт геометрию. Последовательность залив- полигональных объектов, с помощью Polygon Actions (см. рис. 29). Выше мы ки полигонов определяется их приори- ЛКМ можно выделить только те полиго- уже частично касались данной груп- тетом заливки. Команды Bring to front и ны, которые находятся на текущем слое. пы команд, сейчас же рассмотрим её Send to back предназначены для изме- Иными словами, чтобы выделить опре- подробно. нения приоритета заливки текущего делённый полигон, необходимо пред- полигона: первая повышает его, вто- варительно переключиться на слой, на Выбор самого первого пункта дан- рая – понижает. котором он находится. ной группы команд – Polygon Manager – приводит к открытию окна менеджера Команда Move Polygon позволяет После выделения полигона станут полигонов (горячие клавиши T → G → M). перенести полигон на новое место. доступны маркеры вершин и сегмен- О нём речь пойдёт позже, в соответству- После её выполнения полигон ока- тов, с помощью которых можно отре- ющем разделе. зывается «привязанным» к курсору и дактировать его контур. Данное дей- двигается вместе с ним. После щелч- ствие в полной мере описано в первой Под пунктом Polygon Manager распо- ка ЛКМ полигон будет перемещён на части статьи [7]. Остаётся лишь доба- ложен пункт Repour… Данная группа новое место. вить, что после окончания правки кон- команд предназначена для перезалив- тура необходимо перезалить полигон. ки одного или нескольких полигонов. Команда Resize Polygon позволяет Необходимо заметить, что перезалив- изменить размер полигона, причём Рис. 29. Команды управления полигонами ку полигонов нужно выполнять после его размер может меняться независи- каждого его изменения, иначе правки мо по осям x и y. После выполнения не будут применены. Группа команд этой команды по периметру полигона Repour… включает в себя следующие появятся восемь маркеров. На один из команды: них нужно навести курсор и, после того ● Repour Selected (горячие клавиши как он изменит вид, зажать ЛКМ, пере- нести маркер на новое место и отпу- T → G → R) – перезаливка выделен- стить ЛКМ. Чтобы закончить работу с ных полигонов; командой, достаточно щёлкнуть ЛКМ ● Repour All (горячие клавиши на любом месте, кроме маркера – мар- T → G → A) – перезаливка всех поли- керы и подсветка полигона исчезнут. гонов; ● Repour Violating Polygons (горячие С помощью команды Slice Polygon клавиши T → G → S) – перезаливка Pour полигон можно разделить на две полигонов с нарушениями; части. После её выполнения среда AD ● Repour Modified (горячие клавиши перейдёт в режим, в котором нужно T → G → O) – перезаливка изменён- сформировать границу разделения. ных полигонов. Она должна начинаться и заканчи- 66 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 2 2018

ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ Рис. 30. Изменение схемы автоматического Рис. 31. Окно Polygon Pour Manager наименования полигонов ваться за пределами полигона и может Некоторые из команд редактиро- ющих полигонов изменятся сразу во быть сколь угодно сложной формы. Для вания полигонов продублированы в всём проекте [2]. неё также доступны все пять режимов меню Tools → Polygon Pour. Выполне- формирования углов. Чтобы в процес- ние команды Polygon Manager вызы- МЕНЕДЖЕР ПОЛИГОНОВ се разделения полигонов граница была вает открытие менеджера полигонов. видна, рекомендуется или перевести Команды группы Repour также предна- Менеджер полигонов представляет режим отображения ПП в режим Draft значены для перезаливки одного или собой мощное и эффективное средство Mode, или перевести полигон в режим нескольких полигонов и полностью управления полигонами. Окно менед- None (Outlines Only). аналогичны вышеописанным. Коман- жера полигонов Polygon Pour Manager да Shelve X Polygon(s) позволяет скры- можно открыть с помощью коман- После того как граница разделения вать полигоны. Она аналогична коман- ды Tools → Polygon Pours → Polygon полигона сформирована, нужно сде- де Shelve All. Команда Restore X Shelved Manager. Другой вариант – выделить лать два щелчка ПКМ: первый завер- Polygon(s) обратна командам серии полигон, щёлкнуть на нём ПКМ и из шит формирование границы, по вто- Shelve – она восстанавливает все скры- выпадающего меню запустить команду рому произойдёт выход из текущего тые полигоны [1]. Polygon Actions → Polygon Manager (горя- режима. Сразу после этого появится чие клавиши T → G → M) – в результате запрос о подтверждении разделения НЕГРАФИЧЕСКОЕ откроется окно Polygon Pour Manager полигона. После ответа Yes остаётся (см. рис. 31). только выполнить перезаливку. РЕДАКТИРОВАНИЕ ПОЛИГОНА Все опции, которые задаются на эта- В верхней части окна расположе- Команда Explode Selected Polygons на таблица полигонов. Каждая строка To Free Primitives позволяет преобра- пе формирования нового полигона, таблицы представляет отдельный поли- зовать выбранный полигон в прими- можно отредактировать для уже суще- гон. Графа Name отображает имя поли- тивы. Если текущий полигон залит в ствующих полигонов. Для этого нуж- гона. Галочка в графе Auto Assign Name режиме сплошной заливки, то после но открыть окно Polygon Pour. Чтобы включает или отключает автоматиче- выполнения данной команды он пре- это сделать, нужно совершить двойной ское наименование. Если автоматиче- образуется в один или несколько реги- щелчок ЛКМ по полигону или выделить ское наименование полигона отклю- онов типа Copper Region. Если полигон полигон одним щелчком ЛКМ, потом чено, то, предварительно активиро- залит в режиме сетчатой заливки или в щёлкнуть по нему ПКМ и из выпадаю- вав ячейку с именем, полигон можно режиме None (Outlines Only), то он будет щего меню выбрать пункт Properties. переименовать. преобразован в набор отрезков или дуг. После изменения опций полигона его необходимо перезалить [1]. В графе Layer отображается имя слоя, В самом низу списка групп команд на котором расположен полигон. Тут Polygon Actions расположены следую- Автоматически формируемое имя же слой можно поменять, для чего необ- щие команды: полигона в том или ином виде содер- ходимо активировать ячейку и выбрать ● Combine Selected Polygons – объедине- жит имя цепи, к которой он подклю- новый слой из выпадающего меню. чён, имя слоя и порядковый номер. ние полигональных объектов; Существуют четыре схемы авто- В графе Net отображается цепь, к ● Subtract Polygons From Selected – вы- матического наименования. Теку- которой подключён полигон. С помо- щую схему можно поменять в поле щью галочки в графе Shelved можно читание полигональных объектов; Polygon Auto Naming Template окна скрыть или восстановить полигон. Гра- ● Modify Polygon Border – частичное пе- Board Options (см. рис. 30), которое фа IsModified – нередактируемая, галоч- открывается по команде Design → ка в этой графе отражает факт измене- реопределение контура полигональ- Board Options. Данное поле содержит ния полигона. Галочка в графе Locked ного объекта. выпадающее меню, которое предлага- предназначена для управления блоки- Все три действия, для совершения ет четыре схемы наименования. При ровкой полигона. С помощью галочки в которых выполняются указанные выборе новой схемы имена существу- графе Ignore On-Line DRC Violations мож- команды, достаточно подробно опи- но включить или отключить игнори- саны в первой части статьи [7], поэто- му останавливаться на них мы не будем. СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 2 2018 WWW.SOEL.RU 67

ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ Рис. 32. Скрытие и восстановление полигонов ● Violations… – управление нарушени- После выбора одного из вариантов из окна менеджера полигонов ями; откроется окно Polygon Pour, в котором необходимо сделать предварительную Рис. 33. Выпадающее меню окна менеджера ● Auto Name… – управление наимено- настройку полигона, что также описано полигонов ванием полигонов. во второй части статьи [8]. После нажа- Нажатие любой из этих кнопок при- тия в указанном окне кнопки OK будет рование полигоном ошибок в реаль- создан новый полигон. ном времени. водит к появлению выпадающих меню, каждое из которых построено по сле- Щелчок ПКМ в таблице полигонов Сразу под таблицей расположе- дующей схеме (см. пример на рис. 32): приводит к открытию выпадающего ны кнопки управления полигонами. ● включение опции для: меню (см. рис. 33). Практически все Кнопка Repour открывает выпадаю- пункты меню дублируют описанные щее меню, команды которого предна- − всех полигонов; ранее кнопки опций. Отличие состоит значены для перезаливки полигонов: − выбранных полигонов; только в наличии пункта Delete, предна- ● Modified Polygons – перезаливка изме- ● выключение опции для: значенного для удаления выделенных − всех полигонов; в таблице полигонов. нённых полигонов; − выбранных полигонов. ● Selected Polygons – перезаливка вы- Кнопка Create Clearance Rule… позво- В нижней правой части окна менед- ляет создавать персональное правило жера полигонов расположена область бранных полигонов; зазоров полигонов, а кнопка Create отображения выбранного полигона, а в ● Violating Polygons – перезаливка по- Polygon Connect Style Rule… – правило нижней левой части – поле Pour Order. подключения к контактным площад- Данное поле предназначено для ото- лигонов с нарушениями; кам. В обоих случаях создаётся персо- бражения и редактирования приори- ● Force Repour All Polygons – принуди- нальное правило для тех полигонов, тета заливки полигонов. Чем выше в которые выделены в таблице. этом окне расположен полигон, тем тельная перезаливка всех полигонов. Кнопка Create Polygon Class... пред- выше его приоритет. В нижней части Далее расположены кнопки следую- назначена для формирования класса этого поля расположены кнопки Move щих опций: полигонов. После её нажатия откро- Up и Move Down, с помощью которых ● Shelving… – сокрытие или восстанов- ется окно Object Class Name, в котором можно менять приоритет заливки. ление полигонов; необходимо задать имя класса. Расположенная там же кнопка Auto ● Locking… – блокировка полигонов; Как уже говорилось ранее, в среде AD Generate даёт возможность изменить предусмотрены три способа формиро- приоритет полигонов автоматически вания полигонов: один ручной и два с в соответствии с их геометрией. Ниже помощью менеджера полигонов. Руч- этих трёх кнопок расположена кнопка ной способ был описан во второй части Animate Pour Order. С её помощью осу- статьи [8]. Для создания полигонов с ществляется анимированное отобра- помощью менеджера предназначена жение последовательности, в которой кнопка Create New Polygon From... Нажа- будут перезаливаться полигоны. тие этой кнопки открывает выпадаю- щее меню, содержащее два варианта: Нажатие расположенной в самом 1. Selected Polygon – создание полиго- низу окна менеджера полигонов кнопки на на основе выбранного полигона. Apply приведёт к тому, что все внесённые 2. Board Outline – создание полигона на в окне менеджера полигонов изменения основе контура ПП. будут применены к полигонам на ПП, но само окно останется открытым для дальнейшей работы, а нажатие кнопки OK приведёт к закрытию окна, но при этом для применения изменений все полигоны нужно будет перезалить [3]. Рис. 34. Управление зазорами полигональных объектов с помощью правила Clearance УПРАВЛЕНИЕ ПОЛИГОНАМИ 68 WWW.SOEL.RU ЧЕРЕЗ ПРАВИЛА Правило Clearance Правило Clearance предназначе- но для определения величин зазоров между различными объектами токо- проводящих слоёв. Соответственно, данное правило используется и для формирования зазоров полигонов. Данных правил может быть сформи- ровано столько, сколько необходимо. В окне редактора правил все прави- ла Clearance сгруппированы в разде- ле Design Rules → Electrical → Clearance (см. рис. 34). Величины зазоров опре- СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 2 2018

ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ деляются с помощью опций в поле Рис. 35. Таблица зазоров в расширенном режиме Constraints. Если величина зазоров должна быть одинакова для всех объ- Рис. 36. Управление отступом полигональных объектов от краёв печатной платы ектов топологии, то её достаточно задать с помощью опции Minimum деляются с помощью опций в поле нальных объектов от границ задаются Clearance. Если же необходимо сфор- Constraints. Если величина отступов в столбцах, соответствующих следую- мировать разные значения зазоров для всех элементов топологии от всех щим элементам топологии: разных объектов топологии, то сделать видов границ платы должна быть ● Fill (соответствует прямоугольной за- это можно с помощью таблицы зазо- одинаковой, то её достаточно задать ров, расположенной чуть ниже. Каж- с помощью опции Minimum Clearance. ливке); дая строка и каждый столбец таблицы Если же для разных элементов топо- ● Poly (соответствует полигону); соответствуют определённому объекту логии или разных видов границ ПП ● Region (соответствует сплошному ре- топологии. Зазор задаётся отдельно для необходимо определить разные зна- каждой пары объектов. Для этого пер- чения отступов, то это можно сде- гиону) [5]. вым щелчком ЛКМ выделяется ячейка, лать с помощью расположенной расположенная на пересечении стро- ниже таблицы отступов. Каждая стро- Правило Polygon Connect Style ки одного объекта и столбца второ- ка таблицы соответствует одному из Правило Polygon Connect Style пред- го, а вторым щелчком ЛКМ она акти- типов границы ПП: вируется, и вводится новое значение. ● Outline Edge – внешняя граница ПП; назначено для определения стиля На рисунке 34 отображён упрощённый ● Cavity Edge – граница полости для подключения полигонов к контакт- вариант таблицы. Величины зазоров, ным площадкам (КП). Данных правил связанных с полигональными объек- расположения компонента внутри может быть сформировано столько, тами, задаются с помощью строки или ПП; сколько необходимо. В окне редакто- столбца Copper. ● Cutout Edge – граница выреза в ПП; ра правил все правила Polygon Connect ● Split Barrier – граница разделения сте- Style сгруппированы в разделе Design Если необходимо для каждого вида ков слоёв; Rules → Plane → Polygon Connect Style полигональных объектов задать соб- ● Split Continuation – продолжение гра- (см. рис. 37). Стили подключения к КП ственную величину зазора, то таблицу ницы разделения стеков слоёв. определяются с помощью опций в поле необходимо перевести в расширенный Каждый столбец таблицы соответ- Constraints. В данном случае содержи- режим (см. рис. 35). Для этого нужно ствует определённому объекту топо- мое поля может быть представлено перевести в положение Advanced рас- логии. Величины отступов полиго- как в упрощённом, так и в расширен- положенный над таблицей переключа- ном режиме. Переключение режимов тель. В расширенном режиме таблицы производится с помощью переключа- строка Copper, соответствующая поли- гональным объектам, преобразуется в три строки, каждая из которых соответ- ствует одному из видов полигональных объектов: ● Fill соответствует прямоугольной за- ливке; ● Poly соответствует полигону; ● Region соответствует сплошному ре- гиону. Всё то же самое касается и столбца Copper [4]. Правило Board Outline Clearance Правило Board Outline Clearance предназначено для определения отступа элементов проводящих сло- ёв от краёв ПП. Соответственно, данное правило используется и для формирования отступа полигонов от краёв ПП. Данных правил может быть сформировано столько, сколь- ко необходимо. В окне редактора правил все правила Board Outline Clearance сгруппированы в разделе Design Rules → Manufacturing → Board Outline Clearance (см. рис. 36). Приё- мы работы с данным правилом ана- логичны приёмам работы с правилом Clearance. Величины отступов опре- СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 2 2018 WWW.SOEL.RU 69

ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ ЗАКЛЮЧЕНИЕ В трёх частях статьи были рассмо- трены все полигональные объек- ты ПП, доступные в среде AD, а так- же основные приёмы работы с ними. Как видно, среда AD предоставля- ет пользователям достаточно высо- кий уровень автоматизации процес- са конструирования. Как следствие, владение описанными инструмента- ми позволяет одновременно как зна- чительно повысить скорость проек- тирования (и речь здесь идёт об эко- номии десятков часов на один проект ПП), так и понизить количество оши- бок. Всё это в конечном итоге значи- тельно ускоряет вывод на рынок каче- ственного и конкурентоспособного продукта. Рис. 37. Правило Polygon Connect Style. Поле Constraints в упрощённом режиме ЛИТЕРАТУРА Рис. 38. Расширенный режим поля Constraints 1. Altium. Documentation 2017. Polygon Pour: http://www.altium.com/ теля Simple/Advanced, расположенного 2. Direct Connect – непосредственное documentation/17.1/display/ADES/PCB_ в верхней части поля. На рисунке 37 подключение к КП. Obj-PolygonPour((Polygon+Pour))_AD поле Constraints отображено в упро- щённом режиме, а на рисунке 38 – в 3. No Connect – полигон не подключа- 2. Altium. Documentation 2017. Board расширенном. В среде AD определены ется к КП. Options: http://www.altium.com/ три основных типа КП: Под выпадающим меню Connect Style documentation/17.1/display/ADES/ 1. КП для монтажа в отверстие. PCB_Dlg-BoardOptionsForm((Board%20 2. КП поверхностного монтажа. расположено схематическое отображе- Options))_AD/?help-data=PCB.Dlg. 3. КП переходного отверстия. ние стиля подключения. Для типов под- BoardOptionsForm.ScrollBox.MainTab. ключения Relief Connect и No Connect Group_Units.MeasurementUnit Если для всех типов КП должен быть на схематичном отображении доступ- применён один стиль подключения, на опция Air Gap Width, с помощью 3. Altium. Documentation 2017. Polygon то очевидно, что для его формирова- которой задаётся ширина термаль- Pour Manager: http://www.altium.com/ ния достаточно упрощённого режима. ного зазора. Для типа подключения documentation/17.1/display/ADES/PCB_ Если для каждого типа КП необходи- Relief Connect доступен ещё ряд настро- Dlg-PolygonManagerForm((Polygon%20 мо сформировать собственный стиль ек. С помощью опции Conductor Width Pour%20Manager))_AD/?help-data=PCB. подключения полигона, то для этого настраивается ширина проводников, Dlg.PolygonManagerForm.ScrollBox. необходимо использовать расширен- с помощью которых полигон подклю- XPExtPanel4.TreeList_Polygons ный режим. чается к контактной площадке через термальный зазор. С помощью выпада- 4. A l t i u m . D o c u m e n t a t i o n 2 0 1 7 . Для всех типов КП с помощью выпа- ющего меню Rotation можно выбрать, Clearance: http://www.altium. дающего меню Connect Style необхо- под каким углом проводники должны com/documentation/17.1/display/ димо выбрать один из трёх типов под- подходить к контактной площадке – ADES/PCB_Dlg-ClearanceRule_ ключения: 90° или 45°. Переключатель Conductors Frame((Clearance))_AD 1. Relief Connect – соединение с КП че- определяет количество проводников – 2 или 4 [6]. 5. Altium. Documentation 2017. Board рез термальный барьер. Outline Clearance: http://www.altium. com/documentation/17.1/display/ADES/ PCB_Dlg-BoardOutlineClearance_Frame ((Board+Outline+Clearance))_AD 6. Altium. Documentation 2017. Polygon Connect Style: http://www.altium.com/ documentation/17.1/display/ADES/ PCB_Dlg-PolygonConnectStyleRule_ Frame((Polygon+Connect+Style))_AD 7. Якубенко А. Полигональные объекты печатной платы в среде Altium Designer: общие приёмы работы. Часть 1. Совре- менная электроника. 2017. № 9. 8. Якубенко А. Полигональные объекты печатной платы в среде Altium Designer: формирование объектов. Часть 2. Совре- менная электроника. 2018. № 1. 70 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 2 2018

Реклама

ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ Безотказность преобразователя напряжения с параллельными резервированными силовыми каналами Андрей Четин ([email protected]) работы c заданной вероятностью, позво- ляет проводить замену отдельных отка- В статье рассмотрена адаптация стандартного подхода к оценке завших за это время СК в предусмотрен- безотказности резервированных систем применительно ный период технического обслуживания. к преобразователям напряжения и проведена сравнительная оценка При этом может быть использован более различных способов резервирования их силовых каналов. укомплектованный групповой ЗИП. Исследования причин отказов лельной работой однотипных силовых Третий применяемый способ резерви- средств вычислительной техники каналов (СК) на общую шину нагрузки рования – N+1+K, при котором в ПН, кро- (СВТ), установленных в центрах обра- ПН. При этом в ПН закладывается опре- ме N основных, устанавливают дополни- ботки данных (ЦОД) [1], показывают, делённая избыточность по мощности тельно 1 нагруженный резервный СК и что преобладающее их количество обу- для осуществления резервирования по K ненагруженных резервных СК. словлено влиянием человеческого фак- способу N+1, т.е. в них имеется общее тора. Учитывая постоянное усложне- количество М СК, работающих совмест- Главное достоинство двух последних ние СВТ, увеличение объёма и уровня но, из которых N суммарно обеспечива- способов – отсутствие необходимости критичности решаемых ими задач, всё ют заданное значение выходной мощ- оперативного обслуживания в межре- более высокие требования предъявля- ности ПН, а один является нагруженным гламентный период. При этом спо- ются к квалификации обслуживающе- резервным, заменяющим один любой соб резервирования N+L привлекате- го персонала. К тому же участие опера- отказавший из N СК без участия опера- лен своей относительной простотой, тора в обслуживании вспомогательных тора, при этом отказ системы не про- а N+1+K – отсутствием расходования систем СВТ, таких как системы их элек- исходит. Участие оператора требуется ресурса у невключённых резервных тропитания, отвлекает его от выпол- для своевременного восстановления СК. Но здесь возникает расхождение нения основных задач, что повышает утраченного резерва, заключающего- во взглядах разработчиков на реали- вероятность возникновения нештат- ся в замене «на ходу» отказавшего СК зацию конкретного способа резерви- ных ситуаций, приводящих к сбоям в на исправный из состава ЗИП. рования. Разность мнений обусловле- работе СВТ, отказам и авариям. В свя- на ответом на вопрос: в каком режиме зи с этим задача максимального сниже- В любых обслуживаемых системах должны работать резервные СК – нагру- ния доли оперативного участия обслу- безотказность определяется двумя женном или ненагруженном? живающего персонала в обеспечении составляющими: собственной безотказ- штатной работы СВТ в целом и систем ностью компонентов системы и време- Рассмотрим два многоканальных ПН, их электропитания в частности явля- нем их восстановления, поэтому невы- для каждого из которых справедливо ется весьма актуальной. полнение оператором по любой причи- следующее: не своевременной замены отказавшего ● ПН состоит из M идентичных СК; При наличии в системах электро- компонента вызовет увеличение вто- ● все СК соединены параллельно; питания развитых подсистем контро- рой составляющей. В связи с этим дан- ● СК в количестве N условно являются ля, определяющих отказы на уровне ный способ резервирования ПН эффек- основных функциональных узлов, глав- тивен только в том случае, если пред- основными; ной задачей оператора является свое- полагаемое восстановление ПН будет ● СК в количестве L условно являются временная замена вышедших из строя произведено в регламентированный сменных составных частей системы интервал времени с момента обнару- резервными; электропитания на работоспособные жения отказа СК. При невыполнении ● отказ любого из СК не влияет на воз- из состава комплекта ЗИП. От продол- этого условия следующий отказ любо- жительности восстановления работо- го из оставшихся работоспособных N никновение отказов остальных СК; способности системы электропитания СК вызовет и отказ ПН (системы элек- ● ПН работает непрерывно до предель- зависит выполнение регламентирован- тропитания с зависимым отказом СВТ). ного значения средней наработки на ного состояния; отказ СВТ в целом. С целью существенного облегчения ● восстановление отказавших СК не решения данной проблемы применя- Для повышения вероятности безот- ют другой способ резервирования, а производится. казной работы системы электропита- именно N+L, при котором в ПН, кроме При этом в первом ПН применён спо- ния при некотором снижении требо- N основных, устанавливают L>1 нагру- соб резервирования N+L, при котором вания к оперативности замены опе- женных резервных СК. все L резервных СК находятся в нагру- ратором отказавшей составной части женном режиме, а во втором ПН – спо- относительно давно используются пре- Увеличение количества нагруженных соб резервирования N+1+K, при кото- образователи напряжения (ПН) с парал- резервных СК в ПН сверх одного, обеспе- ром один резервный СК находится в чивающего расчётное время безотказной нагруженном режиме, а K резервных СК (K=L−1) – в ненагруженном. В даль- нейшем для краткости будем первый и второй ПН называть ПН с нагружен- ным и ненагруженным резервом соот- ветственно. 72 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 2 2018

ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ Оценку этих ПН будем вести путём ....0 Λ0 Λi–1 ....i Λi ΛM–1 M сравнения наиболее характерного показателя их безотказности – гамма- Рис. 1. Граф переходов состояний резервированного ПН процентной наработки до отказа. 65 В большинстве случаев СК в рассма- триваемых ПН представляют собой 60 промышленно выпускаемые преоб- разователи в модульном исполнении. 55 Данные модули являются электронны- 50 ми изделиями, а их наработка до отка- за, согласно [2], подчиняется экспо- 45 ненциальному закону распределения 40 с постоянной интенсивностью λ. При этом поведение обоих ПН во времени δ(TARγ), % 35 будет описываться однородным мар- 30 ковским процессом [3], которому соот- ветствует граф переходов состояний, 25 представленный на рисунке 1. 20 Представленный граф следует интер- претировать следующим образом: ПН 15 может находиться в конечном счёт- ном множестве {M} состояний, при- 10 чём нахождение ПН в этих состояни- ях образует группу несовместных слу- 5 чайных событий. Переход из одного состояния в другое осуществляется 0 с интенсивностью перехода Λi, i∈{M} при отказе любого из СК, включён- –5 ных в текущем состоянии i. При этом состояние M является поглощающим, 10 0,5 т.е. состоянием отказа ПН в целом, а 9 0,45 все состояния, кроме M, – непоглоща- 8 0,4 ющими, или состояниями работоспо- 7 0,35 собности. 6 0,3 L, шт 5 0,25 ΔP, о.е Гамма-процентная наработка ПН до 4 0,2 отказа зависит от вида функции вероят- 3 ности безотказной работы и может быть 0,15 получена из следующего выражения: 2 0,1 , (1) 1 где γ – заданное значение вероятно- Рис. 2. Относительное отклонение (превышение) гамма-процентной наработки до отказа ПН сти безотказной работы, P(t) – функ- с ненагруженным резервом ция вероятности безотказной работы ПН, определяемая, согласно [3], следу- ● индекс SR означает Standby Reserve – В качестве T1 для (6) будем исполь- ющим образом: ненагруженный резерв; зовать показатель безотказности ПН с нагруженным резервом, а в качестве T2 – . (2) ● коэффициент подобия ξ равен от- соответствующий показатель безотказ- ношению суммарной интенсивно- ности ПН с ненагруженным резервом. Модель отказов СК в общем харак- сти отказов контрольно-переклю- теризуется интенсивностью отказов λ. чающих устройств каждого из СК к Подставляя в (6) соответствующие Тогда интенсивность перехода ПН из интенсивности отказов СК λ; значения наработок, получим зависи- одного состояния в другое Λi, согласно мость, представленную на рисунке 2. [3], определяется следующим образом: ● коэффициент подобия ζ характери- Для определённости при расчёте веро- зует долю интенсивности отказов, ятность безотказной работы γ приня- , (3) приходящуюся на компоненты СК, та равной 0,99999. В качестве аргумен- не зависящие от нагрузки ПН; тов функции и δ(TARγ) выступают коли- (4) чество основных и резервных СК. При ● Af – коэффициент ускорения Аррени- этом количество основных СК целесоо- где: уса, характеризующий влияние тем- бразно определять исходя из их требу- ● индекс AR означает Active Reserve – пературы СК на его интенсивность емой мощности, которую удобно пред- отказов и определяемый по [5]. ставить в виде доли суммарной мощ- нагруженный резерв; Гамма-процентная наработка ПН в ности ПН ΔP. соответствии с (2) и с учетом (3) и (4) Анализ зависимости, представлен- будет определяться следующим обра- ной на рисунке 2, показывает, что вели- зом: чина рассматриваемого относительного отклонения растёт с уменьшением коли- . (5) чества основных и увеличением количе- ства резервных СК. Практически для всех Для оценки пары значений (5) и (6) комбинаций, позволяющих реализовать будем использовать величину отно- способ резервирования N+1+K, т.е. при сительного отклонения δ(T1), харак- L≥2, величина относительного отклоне- теризующего отклонение показате- ния больше нуля, что означает соответ- ля T1 от комплиментарного ему пока- ствующее превышение величины нара- зателя T2: ботки ПН с ненагруженным резервом. . (6) СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 2 2018 WWW.SOEL.RU 73

ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ Преимущество использования ненагру- управления, обусловленной примене- 3. Козлов Б.А., Ушаков И.А. Справочник по женного резерва по сравнению с нагру- нием способа резервирования N+1+K, расчёту надёжности аппаратуры радио- женным в ряде случаев заключается в появляется возможность реализации в электроники и автоматики. – М.: Совет- возможности увеличения наработки до ПН временно′й ротации [7], при кото- ское радио, 1975. – 472 с. отказа (увеличение вероятности безот- рой за счёт равномерного и перио- казной работы) при том же количествен- дического расходования ресурса СК 4. Четин А.Н. Влияние структуры управ- ном составе СК, что и у ПН с нагруженным появляется возможность ещё боль- ляющей части на надёжность систе- резервом, а также в возможности сокра- шего повышения безотказности ПН мы электропитания. Вопросы радио- щения количества резервных СК при в целом при одновременном сокра- электроники, сер. ЭВТ. 2012. Вып. 2. сохранении того же значения наработ- щении количества резервных СК. С. 162–170. ки, что и у ПН с нагруженным резервом. Следует отметить, что ввиду просто- 5. Четин А.Н. Параллельная работа и без- Кроме того, применение ненагру- ты реализации применение спосо- отказность преобразователей напря- женного резервирования позволяет ба резервирования N+1 (частный слу- жения. Вопросы радиоэлектроники, реализовать в ПН временну′ю и ситуа- чай N+L) целесообразно в недорогих и сер. ЭВТ. 2013. Вып. 2. С. 156–161. ционную ротации [7], что также позво- некритичных приложениях, а способа лит достичь вышеописанных положи- N+L, и особенно N+1+K, – в ответствен- 6. Радиосхемы. Схема преобразователя тельных результатов. ных приложениях, для которых предъ- напряжения: http://www.radioskot.ru являются высокие требования к безот- На основании вышеизложенного казности. 7. Четин А.Н. Применение метода рота- можно сформулировать основные пре- ции силовых каналов для повышения имущества применения ненагруженно- Благодаря наличию в ПН со способом безотказности многоканального пре- го резервирования и, в частности, спо- резервирования N+1+K магистрально- образователя напряжения. Практиче- соба резервирования N+1+K по сравне- модульной архитектуры и аппаратной ская силовая электроника. 2013. № 49(1). нию с нагруженным резервированием избыточности появляется возможность С. 33–36. по способу N+L. реализации в нём дополнительных пре- имуществ: параметрической многова- 8. Либенко Ю.Н., Михальченко Г.Я., Во-первых, при любом количестве риантности, адаптивности к внешним и Четин А.Н. Специфические возможно- резервных СК (не превышающем коли- внутренним условиям, а также органи- сти систем вторичного электропита- чества основных СК) гамма-процент- зации динамической выходной шины ния с магистрально-модульной архитек- ная наработка до отказа ПН выше до постоянного тока [8–10]. турой. Доклады ТУСУРа. 2011. № 2(24). 20%, и чем меньше основных СК при Ч. 1. С. 264–268. прочих равных условиях, тем больше ЛИТЕРАТУРА прирост наработки ПН. Добавление 9. Либенко Ю.Н. Эволюция интеллектуали- каждого нового резервного СК увели- 1. И.К.С. Исследования. Консалтинг. Стра- зации средств вторичного электропита- чивает данную разницу в наработках на тегии. Исследование причин отказов ния. Практическая силовая электроника. величину до 7,5% также в зависимости в ЦОД. Пути снижения рисков – 2013. 2012. № 2(46). С. 10–13. от количества основных СК. 2. ГОСТ Р 27.004-2009. Надёжность в тех- 10. Либенко Ю.Н. Эксплуатацион- Во-вторых, благодаря наличию авто- нике. Модели отказов. – М.: Стандартин- ные возможности преобразовате- матизированной системы контроля и форм, 2010. – 18 с. лей напряжения с магистрально- модульной архитектурой. Практи- ческая силовая электроника. 2012. № 4(48). С. 6–9. НОВОСТИ МИРА ответствующим данному типу измеритель- ния транспортной инфраструктуры соглас- ных приборов. но инновационным решениям компании ИСТОЧНИКИ ПИТАНИЯ DELTA «Микран»: радиорелейная связь, системы ELEKTRONIKA ВНЕСЕНЫ На сегодняшний день в Государственный DWDM, коммутаторы, маршрутизаторы, В ГОСРЕЕСТР СИ РФ реестр средств измерений РФ добавлены PON, G-PON, системы спутниковой связи источники питания серии SM800, SM1500, и иное оборудование. Лабораторные источники питания SM SM3300, SM6000, а также SM 500-CP- 500-CP-90P324 от компании Delta Elektronika 90P324 серии SM15K от Delta Elektronika. Компания «Микран» – лидер в области после успешного прохождения тестовых ис- разработки и производства телекоммуни- пытаний добавлены в Государственный ре- www.dipaul.ru кационной аппаратуры – готова предло- естр средств измерений РФ. Устройства об- жить комплексные решения, поддержива- ладают широким диапазоном параметров «МИКРАН» И G-MOBILE ющие весь спектр транспортных технологий и точностью настроек, высокой стабильно- и обеспечивающие построение транспорт- стью работы и низким уровнем шума. ПОДПИСАЛИ СОГЛАШЕНИЕ ных сетей «под ключ». О СОТРУДНИЧЕСТВЕ Благодаря набору своих характеристик Ранее проводилась деловая миссия том- источники питания постоянного тока успеш- В рамках визита делегации Монголии ских малых и средних предприятий в Монго- но используются в различных сферах про- генеральный директор АО «НПФ «Ми- лию, организованная Томской торгово-про- мышленности, особенно в тех областях, где кран» Владимир Доценко и начальник мышленной палатой при поддержке адми- необходимо проводить высокоточные изме- Департамента ВЭС и стратегического нистрации Томской области, областного рения или испытания. В большинстве слу- планирования G-Mobile Мункхгэрэл Сук- Фонда развития малого и среднего бизне- чаев перед проведением работ на источ- хбаатар заключили соглашение о сотруд- са и Минэкономразвития РФ. ник питания необходимо получить метро- ничестве. логический сертификат, удостоверяющий, www.micran.ru что прибор отвечает всем требованиям, со- Предметом соглашения является взаи- модействие компаний в области обеспече- 74 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 2 2018

Реклама

ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ Верификация VHDL-описаний цифровых устройств, представленных в виде композиции управляющего и операционного блоков Часть 1. Верификация на основе покрытия VHDL-кода Николай Авдеев, Пётр Бибило ([email protected]) позволяющий выполнить верифика- цию на основе моделирования про- В статье описана методика проведения верификации VHDL-описаний екта с покрытием VHDL-кода, вто- цифровых устройств, представленных в виде композиции управляющего рая часть будет посвящена верифи- и операционного блоков. Под верификацией понимается проверка кации на основе функционального соответствия VHDL-описания проектируемого цифрового устройства покрытия. спецификациям на проектирование. Для верификации используется логическое моделирование в системе Questa Sim (Mentor Graphics), ПРИМЕР ОПЕРАЦИОННОГО выполняемое с покрытием VHDL-кода. УСТРОЙСТВА ВВЕДЕНИЕ проектируемых цифровых устройств Простой пример цифрового устрой- и систем. Цифровые устройства, представляе- ства system представлен на рисунке 1. мые в виде композиции (соединения) Под верификацией понимается Описание устройства на языке VHDL управляющего и операционного бло- проверка правильности исходного представлено в листинге 1. Устрой- ков, давно нашли широкое применение VHDL-описания, т.е. проверка соот- ство состоит из двух подсхем (бло- в практике проектирования [1]. В насто- ветствия составленного синтезиру- ков): управляющий блок является ящее время проекты таких устройств емого VHDL-описания проектиру- конечным автоматом и имеет имя fsm задаются на языках VHDL и Verilog [2], емой цифровой системы специфи- (листинг 2), операционный блок – имя предназначенных для проектирования кациям на проектирование. Далее alu (листинг 3). цифровых схем на современной базе будут использоваться примеры VHDL- заказных СБИС (сверхбольших инте- описаний устройств, однако приме- Управляющий автомат fsm задан гра- гральных схем) либо программируе- няемая методика и инструментальные фом G переходов (см. рис. 2), функ- мых пользователями логических инте- средства применимы и к описаниям гральных схем типа FPGA. на языке Verilog. Листинг 1 По VHDL-описаниям проектов авто- Цифровые устройства рассматри- package system_pkg is матически строятся синхронные логи- ваемого типа, как правило, входят type st_t is (s1, s2, s3, s4, s5, s6); ческие схемы в том или ином базисе в состав более сложных проектов. end system_pkg; логических элементов, называемом Для проведения верификации все- library ieee; технологическим (целевым) базисом го проекта в целом требуется про- use ieee.std_logic_1164.all; либо целевой библиотекой логических вести сначала отдельную верифи- use work.system_pkg.all; элементов. В настоящее время процесс кацию таких устройств. Проведение entity system is синтеза автоматизирован и важнейшей верификации VHDL-описаний циф- port(x : in std_logic_vector(1 to 4); проблемой при создании проектов ровых устройств, представленных clk, rst : in std_logic; СБИС и систем на кристалле [3] явля- в виде композиции управляющего a, b: in std_logic_vector(3 downto 0); ется проблема верификации исход- и операционного блоков, является z : out std_logic_vector(7 downto 0)); ных спецификаций, представленных целью данной статьи. В первой части end; на VHDL либо других языках, использу- статьи описывается маршрут моде- architecture str of system is емых для алгоритмического описания лирования в системе Questa Sim [4], component fsm port ( system_tb x : in std_logic_vector(1 to 4); clk, rst : in std_logic; Генератор DUT: system state : out st_t); синхросигнала end component; x[1:4] x[1:4] m1 component alu Генератор clk clk fsm port ( псевдослучайных rst rst a, b : in std_logic_vector(3 downto 0); a[3:0] m2 st : in st_t; векторов b[3:0] z : out std_logic_vector(7 downto state st st alu z[7:0] z[7:0] 0)); end component; a[3:0] signal st : st_t; begin b[3:0] m1 : fsm port map (x, clk, rst, st); m2 : alu port map (a, b, st, z); end; Рис. 1. Цифровое устройство system 76 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 2 2018

ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ Листинг 2 Листинг 3 S2 library ieee; library ieee; D D use ieee.std_logic_1164.all; use ieee.std_logic_1164.all; 2,3 2,5 use work.system_pkg.all; use ieee.numeric_std.all; entity fsm is use work.system_pkg.all; D =1 D port(x : in std_logic_vector(1 to 4); entity alu is 1,2 2,4 clk, rst : in std_logic; port ( state : out st_t); a, b : in std_logic_vector(3 downto 0); S3 D S5 end fsm; st : in st_t; 5,1 architecture beh of fsm is z : out std_logic_vector(7 downto signal n_st, st : st_t; 0)); S1 begin end alu; n_st_p : process (st, x) architecture beh of alu is D =1 D =1 D begin signal z_u : unsigned(7 downto 0); 6,1 3,4 5,4 case st is signal a_u, b_u : unsigned(3 downto 0); when s1 => begin S6 D S4 n_st <= s2; a_u <= unsigned(a); 4,6 when s2 => b_u <= unsigned(b); if ((x(1) and not x(2) and not x(3)) z_u <= Рис. 2. Граф G переходов управляющего or (x(1) and x(2))) = '1' then RESIZE(a_u and b_u, 8) when st = s1 автомата fsm n_st <= s3; else elsif ((x(1) and RESIZE(a_u or b_u, 8) when st = s2 Таблица 1. Переходы управляющего not x(2) and x(3)) = '1') then else автомата fsm n_st <= s4; RESIZE(a_u xor b_u, 8) when st = s3 elsif (not x(1) = '1') then else si sj Условие перехода n_st <= s5; RESIZE(a_u xnor b_u, 8) when st = s4 end if; else s s D1,2=1 when s3 => n_st <= s4; RESIZE(a_u+('0'&b_u),8) when st = s5 1 2 when s4 => else if (not x(2) = '1') then RESIZE(a_u * b_u, 8) when st = s6 s s D2,3=x1x–2x–3∨ xx n_st <= s1; else 2 3 12 elsif (x(2) = '1') then (others => 'X'); n_st <= s6; z <= std_logic_vector(z_u); s D2,4=x1x–2x3 end if; end beh; 4 when s5 => if ((not x(1) and x(4)) = '1') then ка), либо логической – в этом случае s D =x– n_st <= s1; логическая операция выполняется над 5 2,5 1 elsif ((not x(4)) or соответствующими разрядами двоич- (x(1) and x(4))) = '1' then ных векторов a, b. s s D =1 n_st <= s4; 3 4 3,4 end if; Функционирование VHDL-модели when s6 => управляющего автомата fsm осущест- s s D =x– n_st <= s1; вляется по тактам, смена состояния 4 1 4,1 2 end case; выполняется по переднему фронту end process n_st_p; синхросигнала clk. Управляющий s D4,6=x2 st_p : process (clk, rst) автомат fsm начинает функциони- 6 begin рование из начального состояния if rst = '1' then s1, меняет свои состояния и всегда s s D =x– x st <= s1; возвращается в начальное состоя- 5 1 5,1 1 4 elsif clk'event and clk = '1' then ние. Заметим, что для других циф- st <= n_st; ровых устройств такого рода в гра- s D5,4=x–4∨ xx end if; фе переходов могут быть петли, что 4 14 end process st_p; означает, что управляющий авто- state <= st; мат не выходит из текущего состо- s s D =1 end beh; яния, а ожидает требуемую комби- 6 1 6,1 нацию управляющих входных сиг- ции переходов даны в таблице 1. Если налов x1, x2, x3, x4, чтобы перейти в Таблица 2. Операции блока alu переход является безусловным, то ДНФ, другое состояние. В процессе функ- задающая соответствующее условие ционирования цепочки состояний Состояние Операция Тип операции перехода, равна единице. управляющего автомата образуют z = a and b Логическая на графе G различные циклы. В дан- s z = a or b Арифметическая На рисунке 2 не показаны асинхрон- ном простом примере каждый пере- 1 z = a xor b ные переходы из любого состояния si ход автомата из одного состояния в z = a xnor b в начальное состояние s1 при единич- другое вызывает смену выполнения s z=a+b ном значении сигнала сброса rst. Дво- соответствующей операции в опера- 2 ичные входные векторы (порты) a, b ционном блоке. называются операндами операцион- s ного блока. В примере число разрядов 3 каждого из операндов равно четырём. Функции операционного блока зада- s ны в таблице 2. 4 В зависимости от состояния функ- s ция операционного блока может быть 5 либо арифметической (сложение либо умножение операндов a, b, понимае- s z=a⋅b мых как двоичные коды чисел без зна- 6 ВЕРИФИКАЦИЯ НА ОСНОВЕ ПОКРЫТИЯ VHDL-КОДА Понятие «покрытие» при верифика- ции применяется в различных контек- стах и связано с выполнением опера- торов программы либо использовани- ем (покрытием) при моделировании возможных входных воздействий и получением тех или иных реакций. Покрытие может относиться также к переключениям сигналов. В этом слу- чае переключение сигнала считается покрытым, если в процессе модели- рования произошли переключения СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 2 2018 WWW.SOEL.RU 77

ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ Рис. 3. Окно для установления опций компиляции в «обе стороны», т.е. сигнал переклю- ным является покрытие операторов Рис. 4. Установление опций компиляции чился из 0 в 1 и обратно – из 1 в 0. (в данном случае вместо строки кода для покрытия кода Кроме операторов анализу на покры- рассматривается покрытие каждого тие могут подвергаться также строки исполняемого оператора). Напом- МАРШРУТ МОДЕЛИРОВАНИЯ VHDL-кода, выражения, экземпляры ним, что декларации в VHDL не явля- компонентов (операторы port map). ются исполняемыми и не подверга- С ПОКРЫТИЕМ КОДА Покрытие характеризует текст про- ются анализу на покрытие. В системе Чтобы выполнить покрытие кода при граммы с точки зрения исполнения Questa Sim при моделировании мож- фрагментов VHDL-кода при модели- но указать следующие опции покры- моделировании, требуется установить ровании. тия кода: соответствующие опции при компи- ● Enable Statement coverage – позволяет ляции и выполнении моделирования. Применение процедур покрытия Рассмотрим данный вид моделирова- кода не предназначено для проверки системе моделирования подсчитать ния на примере цифрового устройства. правильности ожидаемых и получае- число выполнений каждого операто- Далее перечислены шаги для выполне- мых реакций VHDL-модели цифровой ра в строке. ния моделирования с покрытием кода. системы на наборах значений вход- ● Enable Branch coverage – подсчитыва- Предполагается, что проект создан и ных сигналов и не гарантирует пол- ется число выполнений условий ти- проверен с помощью обычного моде- ной верификации. па if/then/else и case и определяются лирования, т.е. без покрытия кода [5]. случаи, когда истинное или ложное Покрытие VHDL-кода как вид вери- условие не выполнилось. Шаг 1. Установка опций покрытия фикации исходит из общих прин- ● Enable Condition coverage – анали- кода перед выполнением компиляции ципов проверки правильности зируются выборы, сделанные в ус- программ, написанных на любом ловиях if и case; данная опция явля- В закладке Project cначала требует- (необязательно на VHDL) языке про- ется расширением Branch coverage. ся отметить требуемые файлы проекта, граммирования, и не гарантиру- ● Enable Expression coverage – ана- для которых планируется анализиро- ет (даже при 100% покрытии) пол- лизирует выражение в правой ча- вать покрытие кода, а затем по правой ной верификации проекта. Тем не сти оператора назначения сигна- клавише мыши открыть окно Compile менее такой вид проверки текстов ла (присвоения значения перемен- Properties (см. рис. 3). Если это один VHDL-программ, представляющих ной) аналогично Condition coverage. файл, то можно установить курсор на собой модели устройств, позволя- ● Enable 0/1 Toggle coverage – считают- этом файле. ет находить достаточно много оши- ся переходы логического сигнала из бок в описаниях функционирования одного состояния в другое; учитыва- Открыв окно Compile Properties, в либо в структурах устройств. Наи- ются только переходы из 0 в 1 и об- разделе Coverage требуется установить более простым понятием покрытия ратно. флаги (см. рис. 4): кода является покрытие строк. Дан- ● Enable 0/1/Z Toggle coverage – счи- ● Enable Statement Coverage – для по- ный вид покрытия может относить- таются переходы логического сиг- ся как к структурному, так и функцио- нала из одного состояния в другое; крытия операторов; нальному описаниям системы и опре- учитываются только переходы меж- ● Enable Branch Coverage – для покры- деляется следующим образом: если в ду значениями сигнала из множества данном сеансе моделирования с дан- {0, 1, Z}, где Z – значение «высокий им- тия ветвлений; ным набором тестирующих векторов педанс» сигнала типа std_logic. ● Enable Condition Coverage – для по- конкретная строка VHDL-кода была ● Enable State Machine coverage – выполнена хотя бы один раз, то она в VHDL-коде выделяется описание ко- крытия условных операторов; является покрытой, если же строка нечного автомата, для которого при ● Enable Expression Coverage – для по- кода ни разу не выполнялась, то она моделировании подсчитываются является непокрытой. В одной стро- пройденные (покрытые) переходы крытия выражений; ке кода может содержаться несколь- между внутренними состояниями, в ● Enable Finite State Machine Coverage – ко исполняемых операторов, поэто- которые попадает автомат. му считается, что более содержатель- для покрытия конечных автоматов. После того как опции установлены, 78 требуется нажать кнопку OK. Шаг 2. Компиляция Выполнить компиляцию можно раз- личными способами: каждый модуль WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 2 2018

ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ может компилироваться по отдельно- Рис. 5. Установка опции покрытия кода Рис. 6. Непокрытые строки VHDL-кода сти, либо все они компилируются по при установке опций моделирования автомата fsm команде Compile All. тые строки VHDL-кода автомата. Зна- Чтобы получить общий отчёт о Шаг 3. Установка опций покрытия чения данных символов, которые даны покрытии кода, нужно выполнить кода перед моделированием и выполне- в отчёте о покрытии кода, приводятся Tools → Coverage Report и выбрать ние моделирования в таблице 3. форму представления отчёта: в виде текстового файла (Text) либо HTML- В закладке Simulation выбираем раз- Установив курсор на строку, мож- дел Start Simulation, затем в закладке но получить отчёт о покрытии дан- Others устанавливаем флаг Enable ной строки. Отчёт о покрытии стро- Code Coverage – «выполнять покрытие ки можно также получить, выполнив кода при моделировании» (см. рис. 5). View → Coverage → Details. Затем осуществляется указание голов- Шаг 5. Формирование общего отчё- ного модуля, а после нажатия кнопки та о покрытии VHDL-кода ОК совершается переход в режим моде- лирования. Дальнейшие действия явля- ются стандартными для выполнения моделирования с использованием окон графического интерфейса. В режиме моделирования из окон Object сигна- лы переносятся в окно Wave. Выполне- ние моделирования осуществляется по команде Run All. Шаг 4. Анализ покрытия одной стро- ки кода Чтобы увидеть, какие строки кода кон- кретного модуля отмечены как покры- тые (непокрытые), требуется открыть текст этого модуля. На рисунке 6 сим- волами XC, XB, XF отмечаются непокры- СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 2 2018 WWW.SOEL.RU 79

ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ Таблица 3. Обозначение результатов покрытия VHDL-кода Обозначение выполнения Интерпретация Рис. 8. HTML-отчёт о полном покрытии кода (невыполнения) покрытия Все операторы, ветви, условия или выражения на строке кода были вать полноту применяемых тестов для X выполнены моделирования, пересечение (ортого- XT Различные виды покрытия на строке кода не были выполнены нальность) логических условий и т.д. X Ветви по значению «истина» (True) не были выполнены (пройдены) Другие, более сложные проблемы вери- Ветви по значению «ложь» (False) не были пройдены фикации решаются на основе функцио- F Условия (Condition) не были выполнены нальной верификации, которая рас- Выражения (Expression) не были выполнены сматривается во второй части данной X Ветвь (Branch) не была пройдена статьи. Там же будут более подробно C Оператор (Statement) не был выполнен описаны специальные средства систе- Строки, которые исключаются при покрытии кода мы моделирования Questa Sim, предна- X значенные для верификации конечных E автоматов. X ЛИТЕРАТУРА B 1. Иванюк А.А. Проектирование встраива- X емых цифровых устройств и систем. – S Минск: Бестпринт, 2012. E 2. Поляков А.К. Языки VHDL и VERILOG в проектировании цифровой аппарату- Рис. 7. Формирование текстового отчёта с нив моделирование устройства system ры. – М.: СОЛОН-Пресс, 2003. указанием имени файла отчёта на 10 000 псевдослучайных входных наборов, можно добиться следующих 3. Чэнь М., Цинь К., Ку Х.-М., Мишра П. файла (HTML). Выбрав Text, требуется результатов покрытия VHDL-кода Валидация на системном уровне. Высо- в открывшемся окне указать имя фай- (см. рис. 8). коуровневое моделирование и управле- ла текстового отчёта (на рисунке 7 этот ние тестированием. – М.: Техносфера, файл имеет имя report.txt). В файле report.txt даётся подробный 2014. анализ причин того, почему не покры- Кроме текстовых файлов отчётов, та соответствующая VHDL-конструкция 4. Лохов А., Рабоволюк А. Комплексная удобно получить итоговый отчёт в в той или иной строке. В данном при- функциональная верификация СБИС. виде HTML-файла. Например, выпол- мере непокрытыми явились строки Система Questa компании Mentor VHDL-кода, в которых записаны усло- Graphics // Электроника: наука, техно- вия переходов, – это и привело к тому, логия, бизнес. 2007. №3. с. 102–109. что нет 100% покрытия ветвей и усло- вий в управляющем автомате. В книге 5. Бибило П.Н. Cистемы проектирования [6] подробно описываются наиболее интегральных схем на основе языка VHDL. распространённые причины отсут- StateCAD, ModelSim, LeonardoSpectrum. – ствия таких покрытий. Например, М.: СОЛОН-Пресс, 2005. условные операторы if должны иметь часть else, логические условия перехо- 6. Бибило П.Н., Авдеев Н.А. Моделирование дов должны быть ортогональными (не и верификация цифровых систем на язы- пересекаться) и т.д. ке VHDL. – М.: ЛЕНАНД, 2017. ЗАКЛЮЧЕНИЕ Верификация на основе покрытия VHDL-кода может быть выполнена для VHDL-описаний проекта в целом, а не только для VHDL-описаний цифровых устройств, представленных в виде сое- динения управляющего и операци- онного блоков. Она позволяет найти «мёртвые» участки кода, проанализиро- НОВОСТИ МИРА рентоспособности выпускаемой продук- контроля показателей реализации про- ции и повышении эффективности дея- цессов СМК. Этот комплекс процессов «МИКРОН» РЕСЕРТИФИЦИРОВАЛ тельности компании. На протяжении обеспечивает планомерное сокращение СМК ПО СТАНДАРТУ 20 лет «Микрон» поддерживает и со- производственного цикла и технологи- ISO 9001:2015 вершенствует корпоративную полити- ческих потерь. ку соответствия международным стан- «Микрон» успешно прошёл ресертифика- дартам качества. На сегодняшний день По результатам проведённого аудита цию системы менеджмента качества (СМК) в компании действует программа вну- принято положительное решение о выда- по международному стандарту ISO 9001:2015. тренних аудитов и корректирующих дей- че «Микрону» cертификата Bureau Veritas Аудит проведён международной независимой ствий, процессы планирования и оцен- Certification c аккредитацией UKAS (Вели- организацией Bureau Veritas Certification, ве- ки результатов, система мониторинга и кобритания). дущей свою историю с 1828 года. www.mikron.ru Задача применения СМК на предпри- ятии заключается в обеспечении конку- 80 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 2 2018

Реклама

ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ НОВОСТИ МИРА Одной из основных тем деловой програм- ных проектов молодых компаний и крупных мы форума стало развитие цифровой эко- предприятий отрасли. ИТОГИ МЕЖДУНАРОДНОГО ФОРУМА номики России и радиоэлектронной про- «МИКРОЭЛЕКТРОНИКА 2017» мышленности как неотъемлемой части Итоги мероприятия были подведены на реализации вектора, обозначенного Пре- заключительном круглом столе форума с 2–7 октября 2017 года в городе Алушта, зидентом Российской Федерации в своём участием руководителей секций научной Республика Крым, состоялся международ- послании к профильным ведомствам, на конференции, ведущих конструкторов, про- ный форум «Микроэлектроника 2017». Еже- пути перехода к новому технологическо- фессоров и специалистов микроэлектрон- годный форум проводился в третий раз при му укладу. ного кластера. поддержке Департамента радиоэлектрон- ной промышленности Минпромторга РФ, Всесторонне эксперты обсудили во- www.mri-progress.ru Госкорпорации «Ростех», Союза машино- прос диверсификации оборонно-промыш- строителей России, Министерства оборо- ленных предприятий, занятых в области ANRITSU АНОНСИРОВАЛА ны РФ и ряда других ключевых структур производства микроэлектронной продук- НОВОЕ ПО ДЛЯ АНАЛИЗАТОРА отрасли. ции и компонентной базы. Отдельно были рассмотрены вопросы разработки и выво- УСТРОЙСТВ БЕСПРОВОДНОЙ Международный форум «Микроэлектро- да конкурентоспособной гражданской про- ника» – это независимая высокоинтеллек- дукции мирового уровня на новые рынки, СВЯЗИ MT8862A туальная площадка для ведения конструк- в том числе за счёт развития кооперации тивного диалога между производственны- с производителями гражданской номен- Корпорация Anritsu анонсировала но- ми объединениями, научным сообществом клатуры. Участники форума были едино- вое программное обеспечение для свое- и представителями бизнес-структур микро- душны в оценке проблемного поля, фор- го анализатора устройств беспроводной электронного кластера и смежных высоко- мирующего барьеры для развития микро- связи MT8862A Wireless Connectivity Test технологичных отраслей. электронной промышленности. В фокусе Set. Новая разработка, предназначенная обсуждения оказались вопросы кадрового для быстрорастущего рынка Интернета Программа форума включила 8 секций обеспечение предприятий, высокого уров- вещей (IoT), позволяет оценивать состо- научной конференции, среди которых «На- ня зависимости отрасли от программных яние устройств даже в том случае, когда вигационно-связные СБИС и модули», решений и комплектующих зарубежного используются средства защиты беспровод- «Высокопроизводительные вычислитель- производства, несовершенства законода- ной сети. ные системы», «Информационно-управля- тельной базы, а также необходимости мо- ющие системы», «Технологии и компонен- дернизации ряда программных докумен- Стандарты WLAN находят всё более ши- ты микро- и наноэлектроники», «Изделия тов, формулирующих стратегию развития рокое применение в современной технике: микроэлектроники общего и специального микроэлектронной промышленности, в ус- телевизорах, автомобилях, промышленном назначения», «Методы и алгоритмы САПР ловиях динамично меняющейся полити- оборудовании и датчиках. Для обеспечения СБИС», «СВЧ интегральные схемы и мо- ческой и экономической мировой конъ- стабильного функционирования необходим дули», «Микросистемы». Помимо науч- юнктуры. контроль таких параметров, как чувстви- ных секций, состоялись заседания 8 кру- тельность и зона покрытия сети, в услови- глых столов деловой программы, а также Завершил деловую программу форума ях реальной эксплуатации. ставший традиционным конкурс стартапов конкурс «Фестиваль инноваций», который «Фестиваль инноваций». был организован АО «НИИМА «Прогресс» и Новое программное обеспечение Федеральной программой «Работай в Рос- MX886200A-020 позволяет Anritsu MT8862A Всего на форуме было представлено 186 сии», при поддержке АО «Росэлектроника», использовать режим измерений Network докладов, охвативших как наиболее акту- а также инновационного центра «Сколко- Mode для тестирования работающих в бес- альные темы общеотраслевого характера, во». Фестиваль стал объединяющей пло- проводной сети устройств, даже если для так и важнейшие для радио- и микроэлек- щадкой научной конференции и деловой них активированы средства обеспечения троники вопросы, связанные с разработ- программы мероприятия. Руководители вы- безопасности. Программное обеспечение кой профильного программного обеспече- сокотехнологичных стартапов презентовали поддерживает ряд стандартов, включая ния, промышленных микросхем, космиче- свои разработки и перспективные решения WEP, WPA-Personal и WPA2-Personal. ской электроники, навигационных систем, в сфере микроэлектроники перед предста- средств автоматизированного проектирова- вителями инвестиционного и академическо- Новая функциональность может быть до- ния и других приоритетных технологических го сообществ, а также производственных бавлена в существующие приборы MT8862A направлений в микроэлектронике. компаний. Высокотехнологичным компани- посредством обновления встроенного про- ям, представлявшим свои проекты в фина- граммного обеспечения и установки лицен- В работе форума приняли участие бо- ле конкурса, был присвоен статус «Техно- зии через веб-браузер. Это избавляет от лее 400 специалистов радиоэлектрон- логический партнёр АО «Росэлектроника», необходимости выполнять модернизацию ной отрасли, в том числе представляю- который даёт возможность развития взаи- в заводских условиях и сокращает время щие Российскую академию наук и веду- мовыгодного сотрудничества между фина- простоя до минимума. щие вузы страны. На полях мероприятия листами и холдингом. удалось консолидировать более 178 систе- MT8862A – это комплексный измеритель- мообразующих для отрасли предприятий «Фестиваль инноваций» проходил во вто- ный прибор для тестирования беспроводных и образовательных учреждений из 34 го- рой раз и вызвал большой интерес у деле- устройств стандартов IEEE802.11ac/n/g/b/a. родов России, а также Республики Бела- гатов форума, продемонстрировав высо- Система использует встроенные протоко- русь, Республики Армения, Китайской На- кий потенциал взаимодействия инновацион- лы связи и обеспечивает тестирование та- родной Республики, что, безусловно, за- ких характеристик беспроводных устройств, крепило за мероприятием статус главного как РЧ-параметры приёмопередатчика (RF события года в области микроэлектрони- TRx), включая мощность передатчика (Tx), ки в России. качество модуляции и чувствительность приёмника (Rx). www.prist.ru 82 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 2 2018

Реклама

СТРАНИЦЫ ИСТОРИИ Первопроходцы отечественной радиолокации Ю.К. Коровин и Д.А. Рожанcкий Владимир Бартенев ([email protected]) самолётов. Работы по этому направле- нию были поручены группе сотрудников В истории становления отечественной радиолокации особую роль ЦРЛ во главе с Ю.К. Коровиным. В группу сыграли выдающиеся советские учёные – Ю.К. Коровин вошли В.А. Тропилло, С.Н. Савин, В.В. Ели- и Д.А. Рожанский. Эти два человека с очень разными судьбами стали зарова и А. Треумнов [2]. первыми, кто сумел доказать на практике возможность применения радиоволн для обнаружения самолётов. М.М. Лобанов, который вёл перего- воры с ЦРЛ от лица ГАУ, в своей книге 2017 год оказался богатым на юби- ном историческом факте – создании позже вспоминал: «Юрий Константи- леи, связанные с историей отечествен- 80 лет назад научно-исследовательско- нович Коровин, с которым меня в тот ной радиолокации. 120 лет назад изо- го института № 20 (НИИ-20) в составе же день познакомил директор ЦРЛ, бретатель радио Александр Степанович Остехуправления (ранее Остехбюро), оказался скромным, застенчивым чело- Попов во время опытов по радиосвя- где были созданы первые отечествен- веком. Среднего роста, чуть сутулова- зи на Балтийском море впервые обна- ные РЛС дальнего обнаружения РУС-2. тый, в очках, он держался с чувством ружил явление отражения радиоволн собственного достоинства, о чём бы ни от кораблей, что в дальнейшем легло Перечисляя все эти юбилейные даты заходила речь, говорил спокойно, нето- в основу радиолокации [1]. Не менее и рассказывая об истории отечествен- ропливо. При первой встрече Коровин значимым событием в истории радио- ной радиолокации, в первую очередь показался мне несколько флегматич- локации можно считать создание хотелось бы вспомнить её первопро- ным. А это невольно настораживало. 95 лет назад Центральной радиолабо- ходцев, учёных, сумевших доказать на Ведь для инженера-экспериментато- ратории (ЦРЛ) при Государственном практике возможность использования ра нужны не только знания, но и под- электротехническом тресте заводов радиоволн для обнаружения самолётов. линная увлечённость делом, энтузиазм. слабого тока, объединившем разроз- Им, пионерам отечественной радиоло- Очень скоро я с радостью убедился, ненные предприятия электротехни- кации Юрию Константиновичу Коро- что эти качества в полной мере при- ческой промышленности России [2]. вину и Дмитрию Аполлинариевичу сущи инженеру Коровину. За внешним Именно в ЦРЛ были проведены первые Рожанскому, посвящена эта статья. спокойствием Юрия Константинови- эксперименты по обнаружению само- ча скрывались необыкновенная энер- лёта радиолокационным способом. Ещё Ю.К. КОРОВИН (1907–1988) гия и неиссякаемый оптимизм...» [4]. об одном юбилее отечественной радио- локации шла речь в недавно вышед- В 2017 году исполнилось 110 лет со Для проведения эксперименталь- шей статье [3], где были приведены сло- дня рождения Юрия Константинови- ных работ была выделена аппаратура ва академика Ю.Б. Кобзарева о том, что ча Коровина, под руководством кото- двусторонней радиосвязи, изготов- 80 лет назад произошло рождение оте- рого был создан макет первой отече- ленная ранее в ЦРЛ и использовавша- чественной импульсной радиолокации. ственной РЛС. После окончания Сара- яся Ю.К. Коровиным в его исследова- В той же статье было упомянуто о важ- товского университета, отслужив два ниях по заданиям Управления связи года в армии, в январе 1932 года Юрий РККА: радиопередатчик непрерывно- Ю.К. Коровин Коровин поступает на работу в Цен- го излучения, работавший на волнах тральную радиолабораторию (ЦРЛ) в 50–60 см, мощностью 0,2 Вт, сверхре- Ленинграде. Здесь под руководством генеративный приёмник и наземные профессора В.И. Калинина он входит параболические зеркала-антенны диа- в группу дециметровых волн, где рабо- метром 2 м. тает над созданием системы двухсто- ронней связи на дециметровых волнах. Для лучшего наблюдения за отра- Его работа заключалась в «разработке жением волн от самолёта перед про- схем приёмника, фидеров, волномера ведением опытов были изучены усло- и системы в целом» [2]. Летом 1933 года вия размещения приёмной и излучаю- данная система была успешно испыта- щей аппаратуры, а затем был поставлен на на антенном поле ЦРЛ. Исследова- основной эксперимент. Испытания ния в данной области, а именно работы проводились в Ленинграде, на террито- по использованию дециметровых волн рии Гребного порта. Излучающая аппа- в радиосвязи, имели огромное значе- ратура размещалась на берегу, а при- ние при проведении первых опытов по ёмная – на льду в 20 м от берега. Изна- обнаружению самолётов. чально опыты по радиообнаружению самолёта планировалось провести в В октябре 1933 года Главным артил- декабре 1933 года, однако неблаго- лерийским управлением (ГАУ) Крас- приятные метеорологические условия, ной Армии ЦРЛ было предложено начать недостаточная толщина и прочность исследования по радиообнаружению льда у побережья Финского залива не 84 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 2 2018

СТРАНИЦЫ ИСТОРИИ позволяли установить аппаратуру для Выводы протокола испытаний первого радиолокационного обнаружителя самолётов в 1934 году выполнения работ. было принято решение о нецелесоо- бы времени. За время своей научной и Наконец 3 января 1934 года погода бразности проведения дальнейших инженерной деятельности Ю.К. Коро- улучшилась и долгожданный экспери- работ по радиолокации в этой лабо- вин получил свыше 20 авторских сви- мент по радиообнаружению самолёта ратории. Новый тематический план детельств и опубликовал множество был проведён. Приёмная аппаратура на 1937 год, разработанный в Науч- научных работ. Его научные достиже- позволила наблюдать эффект Доплера в но-испытательном институте связи ния были отмечены орденами «Знак виде характерной пульсации интенсив- и особой техники Рабоче-Крестьян- Почёта» и Трудового Красного Знаме- ности звукового сигнала в наушниках ской Красной Армии (НИИСТ РККА), ни [4]. В 1959 году ему была присужде- при вхождении гидросамолёта в зону предусматривал продолжение работ на учёная степень кандидата техниче- видимости. Самолёт обнаруживался по теме «Ревень» – разработку и испы- ских наук. на расстоянии 600–700 м при высоте тание установки системы радиообна- полёта 100–150 м. Приведённые циф- ружения самолётов с использованием Д.А. РОЖАНСКИЙ (1882–1936) ры могут показаться незначительными, непрерывного излучения. Выполне- однако важность полученных резуль- ние этих задач было поручено шестому В 2017 году исполнилось 135 лет татов сложно переоценить, поскольку отделу НИИСТ РККА под руководством со дня рождения одного из крупней- они положили начало отечественной бригадного инженера М.И. Куликова. ших российских учёных, внёсше- радиолокации и стали отправной точ- Работами по теме «Ревень» непосред- го большой вклад в развитие отече- ной в её последующем развитии. ственно руководил военный инженер ственной радиофизики, создателя НИИСТ РККА Д.С. Стогов. Данные рабо- отечественной школы радиофизики, В результате исследований, прове- ты успешно завершились в 1939 году, профессора, члена-корреспонден- дённых в январе 1934 года Ю.К. Коро- и РЛС РУС-1 была принята на вооруже- та АН СССР Дмитрия Аполлинарие- виным и его группой, в нашей стране ние. Важно подчеркнуть, что в этой РЛС вича Рожанского. После окончания впервые была экспериментально дока- использовался тот же метод непрерыв- Санкт-Петербургского университе- зана практическая возможность радио- ного радиоизлучения, что и в первой та и защиты магистерской диссер- обнаружения самолёта по отражённой установке Ю.К. Коровина. тации в 1911 году Дмитрий Аполли- от его поверхности электромагнитной нариевич переезжает в Харьков, где энергии. Так описывает эти события В 1939 году Коровин перешёл рабо- осенью 1914 года становится профес- М.М. Лобанов: «В истории развития тать в НИИ-9, однако с началом Вели- сором и заведующим кафедрой физи- отечественной радиолокации опыт кой Отечественной войны вместе с ки. Эту должность он занимал вплоть ЦРЛ является знаменательным собы- НИИ-9 был эвакуирован в Красноярск, до 1921 года. Харьковский период был тием, важность которого не подлежит где разрабатывал средства радиона- очень плодотворным для Рожанского сомнению, и его трудно переоценить. вигации и слепой посадки самолётов, в творческом отношении. Этот опыт подтвердил, что электромаг- занимался созданием образцов связной нитные волны не только отражаются аппаратуры и запуском их в серийное В 1913–1914 годах одна за дру- от самолёта, но и могут быть приняты производство на созданном в 1941 году гой вышли несколько фундаменталь- радиоприёмным устройством на зем- радиозаводе № 327. После окончания ных работ Дмитрия Аполлинариеви- ле» [4]. С целью расширения фронта войны Коровин продолжил научные ча. В частности, в книге «Электриче- работ по созданию новой радиолокаци- исследования распространения радио- ские лучи» на высоком научном уровне онной техники в 1935 году Коровин был волн на трассах большой протяжён- изложены физические основы радио- переведён в Центральную военно-инду- ности, разрабатывал аппаратуру служ- техники того периода. В это же время стриальную лабораторию (ЦВИРЛ), где вышла известная книга Рожанского продолжил свои исследования в обла- сти радиообнаружения самолётов. Здесь он получил авторское свидетель- ство от 26.10.36 г. № 13487 «Пеленгатор самолётов». В июле 1937 года Корови- ным было получено авторское свиде- тельство «Способ приёма отражённых дециметровых волн». В ЦВИРЛ в 1936 году под руковод- ством Коровина была создана пер- вая станция радиообнаружения для зенитной артиллерии под названием «Енот». Данный прибор «видел» воздуш- ную цель на расстоянии до 11 кило- метров, однако добиться стабильной работы приёмного и передающего устройств так и не удалось. Аппарату- ра стала надёжнее, но ещё не в такой степени, которая требовалась для при- нятия её на вооружение. Директивой Генерального штаба от 31.12.1936 г. СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 2 2018 WWW.SOEL.RU 85

СТРАНИЦЫ ИСТОРИИ Д.А. Рожанский идущий на сделки с совестью, гуман- тическая деятельность кафедры легла ный в самом широком понимании на плечи Дмитрия Аполлинариевича. «Электрические колебания и волны» этого слова, Д.А. Рожанский отличал- Несколько позже он возглавил кафе- в двух частях. В 1921 году начинается ся доброжелательностью ко всем, осо- дру технической электроники, кото- следующий, короткий (всего два года), бенно к научной молодёжи. Он всег- рой руководил до конца жизни. но чрезвычайно важный этап научной да щедро делился своими широкими деятельности Рожанского – его рабо- знаниями, идеями и богатым опытом, Летом 1935 года в ЛФТИ была орга- та в Нижегородской радиотехниче- в то же время никогда никого не пода- низована специальная лаборатория для ской лаборатории, организованной в влял авторитетом. Эти качества, раз- исследований проблем радиообнаруже- 1918 году М.А. Бонч-Бруевичем по декре- умеется, привлекали молодых науч- ния самолётов. Возглавить эту лабора- ту В.И. Ленина. Ещё работая в Харько- ных работников, которые находили торию было предложено Рожанскому. ве, Дмитрий Аполлинариевич одним в Дмитрии Аполлинариевиче умного Через несколько месяцев в лаборато- из первых принял участие в деятельно- и внимательного руководителя, чут- рию пришёл Юрий Борисович Кобза- сти этой лаборатории, а в 1921 году его кого и отзывчивого друга. Д.А. Рожан- рев. Интенсивная работа небольшого, пригласили туда на постоянную рабо- скому удалось создать научную школу, но прекрасно подобранного коллекти- ту. В Нижегородской лаборатории он среди представителей которой – мно- ва дала закономерный результат. Был выполнил серию фундаментальных гие крупные советские учёные-радио- разработан импульсный метод радио- исследований по целому ряду важней- физики: доктора технических наук и локации для обнаружения самолётов на ших проблем радиотехники, в том чис- академики Г.В. Брауде, Ю.Б. Кобзарев, больших расстояниях. К концу 1935 года ле по теории автогенераторов. Особое М.С. Нейман, А.А. Слуцкин, А.Н. Щукин, была создана экспериментальная уста- значение имели работы Д.А. Рожанского В.И. Бунимович, М.Т. Грехова и др. новка – макет будущей первой отече- по теории антенн, к которым он перехо- ственной импульсной РЛС, которая дит после изучения процессов в замкну- В 1923 году Д.А. Рожанский вме- вошла в историю под названием «Редут». тых контурах. Предложенный им метод сте с В.П. Вологдиным и А.Ф. Шори- Согласно отчёту № 101 ЛФТИ за 1935 наведённых ЭДС стал основой для рас- ным переезжает из Нижнего Новгоро- год, измерительная установка для пер- чёта антенных систем. да в Ленинград. Сначала он работает в вых опытов по импульсной радиоло- Центральной радиотехнической лабо- кации позволяла решить следующие Д.А. Рожанский был не только круп- ратории (ЦРЛ) треста заводов слабо- задачи: ным учёным-физиком, но и разносто- го тока. В организации и работе этой 1. Опробовать созданный под руковод- ронне образованным человеком [5]. Он лаборатории вместе с ним участвова- свободно владел тремя европейскими ли выдающиеся российские радиофи- ством Рожанского широкополосный языками, прекрасно знал античную зики Л.И. Мандельштам и Н.Д. Папалек- супергетеродинный радиоприёмник с литературу, любил искусство, вместе си. Под руководством Д.А. Рожанского в максимально возможной чувствитель- с молодёжью занимался туризмом и данной лаборатории разрабатывались ностью на диапазон волн от 3,2 до 6 м спортом. Характеристика Д.А. Рожан- методы генерирования коротких и уль- для изучения отражённых от самолё- ского была бы далеко не полной без тракоротких радиоволн и стабилиза- тов сигналов на больших расстояниях. упоминания его личных качеств. Все, ции частоты коротковолновых генера- 2. Создать приёмную антенну с пелен- кому приходилось учиться у Д.А. Рожан- торов. В 1925 году им были построены гационными характеристиками. ского или работать под его руковод- две коротковолновые телеграфно-теле- 3. Разработать излучатель, обеспечи- ством, и все, кому приходилось встре- фонные радиостанции мощностью вающий генерирование эталонно- чаться с ним в рабочей обстановке и в 250–300 Вт, работавшие в диапазоне го сигнала. личной жизни, находились под воздей- волн 50–75 м. В 1925 году Рожанского Проведённые на полигоне рядом с ствием его необыкновенного обаяния. заинтересовали вопросы распростра- аэродромом в Монино опыты показа- Глубоко принципиальный и никогда не нения коротких волн, и он приехал в ли полную пригодность аппаратуры Харьков, где познакомился с Ю.Б. Коб- для измерений слабых отражённых заревым, который помогал ему в изме- самолётом сигналов. Впервые были рениях принимаемых сигналов. измерены характеристики рассеяния электромагнитных УК-волн самолёта- В это же время академик А.Ф. Иоффе ми (диаграммы рассеяния самолётов) пригласил Д.А. Рожанского в органи- и определены эффективные площади зованную им Ленинградскую государ- рассеяния (ЭПР). Д.А. Рожанский лич- ственную физико-техническую лабо- но принимал участие в первых экс- раторию. В 1924 году по предложению периментах. К сожалению, увидеть А.Ф. Иоффе начинается преподава- завершение этой работы Дмитрий тельская деятельность Д.А. Рожанского Аполлинариевич не смог: он скоропо- в Физико-техническом институте, где он стижно скончался 27 сентября 1936 г. стал заместителем декана недавно соз- Продолжателем дела Д.А. Рожанского данного физико-механического факуль- стал Юрий Борисович Кобзарев, впо- тета Ленинградского политехнического следствии академик, который возгла- института. Деканом факультета с самого вил лабораторию Рожанского, где момента его основания был А.Ф. Иоффе. ещё накануне Великой Отечествен- В это время Иоффе занимался органи- ной войны были успешно заверше- зацией Ленинградского физико-техни- ны разработка и испытание макета ческого института (ЛФТИ), и вся прак- первой отечественной импульсной 86 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 2 2018

СТРАНИЦЫ ИСТОРИИ РЛС дальнего обнаружения самолё- щать рассказ о представителе старше- ЛИТЕРАТУРА тов «Редут» [6]. го поколения российских учёных, док- торе наук, профессоре, члене-корре- 1. А.С. Попов в характеристиках и воспо- Дмитрий Аполлинариевич Рожан- спонденте АН СССР Д.А. Рожанском с минаниях современников. – М.; Л.: Изд- ский – ученик и продолжатель дела изо- историей кандидата наук, старшего во Академии наук СССР, 1958. бретателя радио А.С. Попова, внёс суще- научного сотрудника Ю.К. Коровина? ственный вклад в становление отече- Однако важно понимать, что Рожан- 2. Центральная радиолаборатория в Ленин- ственной радиотехники, радиофизики ского и Коровина нисколько не разнят граде. – М.: Советское радио, 1973. и радиолокации. Патриот и гражданин, поколения, учёные звания и степени. один из создателей макета первой оте- Этих учёных объединяет главное дело 3. Бартенев В.Г. Значение 1937 года в оте- чественной импульсной радиолокаци- их жизни – создание и развитие оте- чественной радиолокации. Современная онной станции, он навсегда останется чественной радиолокации. При этом, электроника. 2017. № 7. в истории российской науки. к сожалению, 110-летие со дня рожде- ния Ю.К. Коровина не нашло должного 4. Лобанов М.М. Начало советской радио- ЗАКЛЮЧЕНИЕ отражения в жизни российского науч- локации. – М.: Советское радио, 1975 г. но-технического сообщества. У читателя может возникнуть вопрос: 5. Рожанский И.Д., Рожанская М.М., Фило- справедливо ли в одной статье совме- нович С.Р. Дмитрий Аполлинариевич Рожанский. – М.: Наука, 2003. 6. Кобзарев Ю.Б. Создание отечественной радиолокации. – М.: Наука, 2007. НОВОСТИ МИРА Опрос респондентов, знакомых с понятием Компания предоставила партнёрам об- биткоина, показал, что за его курсом сегодня новлённое встроенное ПО для тестирова- ТОЛЬКО 2% РОССИЯН ГОТОВЫ следят 12%. 75% не интересуются динами- ния и попросила их тщательно провести кой стоимости криптовалюты. 13% затруд- тесты. ПОЛУЧАТЬ ВСЮ ЗАРПЛАТУ нились с ответом. Следят за курсом всего В КРИПТОВАЛЮТЕ 6% женщин против 17% среди мужчин. Мо- Корпорация заявила, что нашла перво- лодёжь интересуется динамикой курса бит- причину, вызывающую «проблему переза- Согласно опросу Superjob, россияне не спе- коина чаще, чем старшее поколение (15%). грузки» её процессоров Haswell и Broadwell, шат доверять новому платёжному средству. которая обнаружилась ранее. В результате опроса россиян, следящих за О своём нежелании получать зарпла- курсом биткоина, относительно прогноза его сто- Когда Intel признала, что аварии проис- ту в криптовалюте рассказали 75% россиян. имости на 1 марта, была получена средняя циф- ходят также с процессорами Kaby Lake и 8% готовы получать в ней только часть зарпла- ра в $12 507. Таким образом, народное мнение Skylake, она посоветовала продолжить раз- ты. 2% опрошенных настроены крайне позитив- повторяет рыночные тренды: криптовалюта в вёртывание исправлений. но, готовы полностью перейти на новую эконо- дни проведения опросов демонстрировала рост. мику и согласны всю свою зарплату перевести в В конце прошлой недели Red Hat распро- биткоины или другую криптовалюту. 5% призна- Пресс-служба SuperJob странила обновление микрокода, предна- лись в том, что не знают о том, что такое крипто- значенное для смягчения последствий уяз- валюта. 10% не смогли до конца определиться. INTEL ВРЕМЕННО вимостей Meltdown и Spectre. Женщины чаще мужчин признавались, ПРИОСТАНАВЛИВАЕТ Meltdown и Spectre были обнаружены что ничего не знают о криптовалюте (7 и ФРАГМЕНТАРНЫЕ ИСПРАВЛЕНИЯ исследователем Дженом Хорном, который 3% соответственно). Затруднившихся с от- 1 июня 2017 г. сообщил Intel, AMD и ARM ветом среди женщин также вдвое больше, Компания Intel рекомендует прекра- об имеющихся уязвимостях. чем среди мужчин (14 и 7%). Наиболее ло- тить установку предлагаемого ею в на- яльная криптовалютам аудитория – моло- стоящее время обновления встроенного Ранее Microsoft пришлось заново выпу- дёжь до 24 лет: она чаще других готова полу- ПО, призванного устранить уязвимости скать исправления, поскольку первый ва- чать всю зарплату либо её часть в биткоинах Meltdown и Spectre, и дождаться новой риант не позволял системам с процессо- и т.п. (3 и 18%). Интересно, что во всех воз- информации. рами AMD загружаться. растных группах примерно одинаково число неосведомлённых о криптовалютах (5–6%). www.itweek.ru СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 2 2018 WWW.SOEL.RU 87

КОМПЕТЕНТНОЕ МНЕНИЕ По следам опубликованного… Редакция находится в постоянном диалоге с читателями – Статья «Измерение ёмкости аккумуля- специалистами в области разработки, проектирования и производства торов» (Современная электроника. 2017. РЭА. Естественно, у каждого из них свой взгляд на вещи, своё видение № 8. С. 68). ситуации, сложившейся в сфере современной электроники. Мнение одного из читателей по вопросам, затронутым в некоторых статьях, В статье описан процесс сканирова- опубликованных в № 8 и 9 журнала за 2017 год, приводится далее. ния ёмкости аккумуляторов, но при этом ничего не говорится об «изюминке» это- Статья «Процессорное управление рая очень похожа на двухмостовую схе- го процесса – генераторе управляемого электроприводами» (Современная элек- му контроллера шагового двигателя. напряжения (ГУН) с датчика тока, кото- троника. 2017. № 8. С. 60). Отличия лишь во включении диодов в рый может резко повысить точность мостике, при этом совершенно не вид- измерения и просто незаменим в условиях Высказывание о том, что «для электро- на схема включения обмоток статора. реального режима работы аккумулятора приводов с напряжением на шине свыше на объекте (например, на электромобиле). 300 В <...> наибольшее распространение Теперь о драйверах управления MOSFET получили <...> IGBT-транзисторы» невер- и IGBT: авторы почему-то указали на Статья «Перспективные отечествен- но: MOSFET вне конкуренции до 100 В, при- достаточно старый, 2005 года, и не луч- ные МЭМС-датчики давления жидкости емлем до 500 В и полностью заменяется ший по выходным токам (420 мА) Single и газа» (Современная электроника. 2017. IGBT примерно на 1000…1200 В. На стра- Driver IR2127, который или будет затя- № 9. С. 32). нице 62 представлена функциональная гивать фронты, или сгорит, даже при схема электропривода с двигателями «раскачке» весьма маломощного MOSFET, В статье описан МЭМС-датчик давления постоянного тока DCM – совершенно для которого требуется как минимум технологии ЭДС-2, однако не приведены ни непонятно, зачем на трёхфазный клас- импульсный выходной ток в 1,5 А. Авто- типовые схемы подключения, ни предельные сический мостик Ларионова ставить рам это должно быть известно, тем параметры, ни графики и таблицы специ- шесть MOSFET, гарантировав при этом более что в статье говорится: «ИМС фикаций. Среди прочих параметров указана как минимум головную боль от монта- управления должна обеспечить токи временна′я нестабильность 0,5% в год, одна- жа и индуктивных иголок выбросов пере- перезаряда в цепи затвора, гаранти- ко как можно говорить о нестабильности и напряжения в драйверах верхнего плеча. рующие динамические характеристики набранной статистике, если предприятие Можно применить обычные примитив- транзистора». Кроме того, этот драй- только планирует выпускать этот дат- ные диоды в мостике, а ШИМ выполнить вер Single Channel, а не Dual, и бутстреп- чик со 2-го квартала 2018 года? Кроме того, уже на MOSFET, что будет в шесть раз ный каскад в таком включении Low Side заявлен класс точности 0,04%, при том что дешевле и надёжнее. Если нужен реверс, ему абсолютно не нужен. даже у мировых лидеров МЭМС-технологий можно использовать мост с четырьмя он находится в диапазоне 1,0...1,5%. MOSFET, а никак не с шестью. Отдельно В данном контексте можно упомянуть запитывать якорь и обмотку возбужде- микросхемы IRS2186...IRS21864(S)PbF или В противовес можно привести датчик ния вряд ли экономически целесообразно. IRS21867 – High and Low Side Driver, харак- давления MPXV5004DP производства фир- Идея авторов непонятна. теристики которых выглядят более мы Freescale Semiconductor, Inc. Датчик этот предпочтительными (4/4 A, 600 В). термостатированный, прошёл лазерную На рисунке 8 в статье представле- калибровку точности на заводе. Выход – 5 В на функциональная схема вентильно- Таким образом, вместо рисунка 10 в ста- Span, а не 200 мВ, как у описанного в статье. индукторного электропривода, кото- тье следовало представить внутреннюю структурную схему IRS21864 (см. рис.). Статья «DC/DC-модули мощностью до 15 Вт для бортового питания на 27 и 21864 UV VB 100 В» (Современная электроника. 2017. DETECT HO № 9. С. 52). VS R Подобных модулей серийно выпускает- VCC ся очень много. У ИМС ШИМ-контроллера HV PULSE RQ LO напряжение обратной связи очень высо- LEVEL FILTER S COM кое – 2,55 В, притом что оно должно быть максимально низким, в особенности HIN VSS/COM PULSE SHIFTER для стабилизатора тока. Фирма MAXIM LEVEL выпускает линейку DC/DC для обратно- хода: Vfeedback=0,7 В – это напряжение глу- SHIFT GENERATOR боко разряженного никель-кадмиевого аккумулятора, что, конечно же, гораздо UV ниже 2,55 В. КПД представленных в ста- DETECT тье модулей – максимум 79%, что говорит об отсутствии синхронного детектора. VSS/COM DELAY LIN LEVEL Редакция планирует и дальше продол- жать диалог с читателями. Мнение каждо- SHIFT го специалиста и впредь будет учитывать- VSS ся, а на страницах журнала найдётся место любой позиции, если она будет взвешен- Внутренняя структурная схема IRS21864 но и аргументированно изложена. 88 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 2 2018

Реклама

Реклама


Like this book? You can publish your book online for free in a few minutes!
Create your own flipbook