ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ Рис. 2. Схема МШУ в модуле Circuit Schematics транзистора с высокой подвижностью элементов, и с большим запасом пере- ● фактор Роллетта (К-фактор) [1, 2]: электронов (pHEMT). В основу третье- крывают используемый при моделиро- го и четвёртого каскадов положена вании диапазон частот. ; (1) микросхема типа Gain Block. ППФ на основе технологии низкотемператур- Для описания нелинейных усили- ● дополнительный коэффициент ста- ной совместно обжигаемой керамики тельных элементов используются бильности [1, 2]: (LTCC) фильтруют внеполосные сигна- S-параметры, предоставленные про- лы. Перед входом МШУ, согласно идео- изводителями этих элементов для ; (2) логии изделия, расположен узкополос- режима, в котором применяются дан- ● определитель матрицы рассеяния ный диплексер на объёмных резонато- ные элементы. Согласно определению, рах, защищающий приёмный тракт от S-параметры изделия измеряются в [1, 2]: излучения передающего тракта. режиме малого сигнала. Исходя из тре- бований заказчика к уровню входного ; (3) Моделирование в Circuit сигнала не более −110 дБм, использо- ● геометрически определяемые коэф- Schematics вание малосигнальных S-параметров для описания поведения нелинейных фициенты стабильности со стороны Первый этап моделирования заключа- элементов модели МШУ в первом при- нагрузки (выражение 4) и источни- ется в построении схемы МШУ в моду- ближении является вполне допусти- ка (выражение 5) [5]: ле Circuit Schematics. В качестве дрос- мым. селей, блокировочных и согласующих ; (4) элементов схемы использованы моде- Схемы МШУ и первого каскада МШУ ли реактивных элементов, представ- в модуле Circuit Schematics представле- . (5) ленные на веб-сайте NI AWR Design ны на рисунках 2 и 3 соответственно. Environment [4]. Данные модели осно- ваны на SPICE-моделях, представлен- В качестве критериев оценки устой- ных производителями используемых чивости нелинейных элементов и усилительных каскадов на их основе используются: СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 3 2018 WWW.SOEL.RU 49
ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ Рис. 3. Схема первого каскада МШУ в модуле Circuit Schematics Транзистор, на основе которого построены первый и второй каскады усиления, является условно стабиль- ным, т.е. способен к генерации пара- зитных частотных составляющих при определённых значениях импеданса нагрузки и источника. Зависимости критериев оценки устойчивости уеди- нённого транзистора от частоты при- ведены на рисунке 4. Как видно из рисунка 4, неравенства 1, 3–5 не выполняются в широком диа- пазоне частот. Это означает, что вклю- чение данного транзистора без цепи стабилизации приведёт к паразитной генерации. В качестве элемента стаби- лизации в цепь истока введена допол- нительная индуктивная последователь- ная обратная связь. Зависимости кри- териев оценки устойчивости первого каскада усиления от частоты после ста- билизации приведены на рисунке 5. На рисунке 5 видно, что неравен- ства 1–5 выполняются в интересую- щем нас диапазоне частот. Вне рабо- чего диапазона частот усилитель так- же является стабильным в широких пределах. Устойчивость Устойчивость 4 4 3 3 22 11 0 500 750 1000 1250 1500 1750 2000 2250 2500 2750 3000 0 500 750 1000 1250 1500 1750 2000 2250 2500 2750 3000 Частота, МГц Частота, МГц Рис. 4. Зависимость критериев устойчивости pHEMT-транзистора Рис. 5. Зависимость критериев устойчивости первого каскада усиления от частоты от частоты S21 & VSWR 10 Коэффициент шума 14 9 2 13 8 12 7 1,8 6 11 5 1,6 10 4 3 1,4 9 2 8 1 1,2 7 0 6 1 5 1000 1250 1500 1750 2000 2250 2500 2750 3000 4 Частота, МГц 0,8 500 750 0,6 0,4 0,2 0 1750 2000 2250 2500 2750 3000 1500 Частота, МГц Рис. 6. Зависимость коэффициента передачи и КСВ входа и выхода Рис. 7. Зависимость коэффициента шума первого каскада первого каскада усиления от частоты от частоты 50 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 3 2018
ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ Swp Max 3000MHz Устойчивость 4 3 2 1 Swp Min 500MHz 0 500 750 1000 1250 1500 1750 2000 2250 2500 2750 3000 Частота, МГц Рис. 8. Частотная зависимость импедансов выхода и входа первого и второго каскадов усиления Рис. 9. Зависимость критериев устойчивости МШУ от частоты S21 & VSWR 10 Коэффициент шума 79 9 2 69 8 59 1,8 7 49 6 1,6 39 5 29 4 1,4 19 3 2 1,2 9 1 –1 0 1 –11 1000 1250 1500 1750 2000 2250 2500 2750 3000 –21 Частота, МГц 0,8 500 750 0,6 0,4 0,2 0 1750 2000 2250 2500 2750 3000 1500 Частота, МГц Рис. 10. Зависимость коэффициента передачи и КСВ входа и выхода Рис. 11. Зависимость коэффициента шума МШУ от частоты МШУ от частоты РЕЗУЛЬТАТЫ МОДЕЛИРОВАНИЯ Результаты моделирования ПОДГОТОВКА МОДЕЛИ МШУ В CIRCUIT SCHEMATICS четырёх каскадов усиления В EM STRUCTURES в Circuit Schematics Результаты моделирования На основе топологии МШУ из мате- первого каскада усиления в Circuit Второй каскад МШУ стабилизиро- матических моделей линий передачи в Schematics ван дополнительной индуктивной модуле Circuit Schematics была разрабо- последовательной обратной связью тана печатная плата в Altium Designer. На На рисунке 6 приведена зависимость так же, как и первый. Третий и четвёр- рисунке 12 представлена поэтапная схе- коэффициента передачи и КСВ входа и тый каскады усиления МШУ построе- ма получения электромагнитной моде- выхода от частоты для первого каскада ны на базе малошумящих микросхем ли топологии из файла печатной платы. усиления. Согласно рисунку 6, расчёт- типа Gain Block, которые не нуждают- ный коэффициент передачи первого ся во внешних цепях стабилизации. Из файла печатной платы в Altium каскада в интересующем нас диапазо- Результаты моделирования четырёх- Designer формируются производствен- не частот составляет около 13 дБ. Рас- каскадного МШУ представлены на ные файлы в формате ODB++, кото- чётные значения КСВ входа и выхода рисунках 9–11. рые с помощью утилиты PCB Import составляют 1,5 и 2 соответственно. Wizard конвертируются в модуль EM Из анализа зависимости критери- Structures. В модуле EM Structures На рисунке 7 приведена зависимость ев устойчивости МШУ от частоты на назначаются порты ввода/вывода сиг- коэффициента шума первого каскада рисунке 9 видно, что четырёхкаскадная нала в точках подключения элемен- от частоты. Расчётное значение коэф- схема МШУ также устойчива в исследу- тов схемы МШУ. Здесь же описывают- фициента шума в рабочем диапазоне емом диапазоне частот. ся параметры слоёв печатной платы, частот не превышает 0,85 дБ. такие как диэлектрическая проница- Коэффициент усиления МШУ в емость, тангенс угла диэлектрических На рисунке 8 приведена частотная интересующем нас диапазоне частот, потерь, параметры материала металли- зависимость импеданса выхода перво- как видно из рисунка 10, составляет зации и т.д. Отдельно можно выделить го каскада усиления и импеданса входа не менее 60 дБ. Расчётные значения такие параметры, как толщина, шеро- второго каскада. Как видно из рисунка 8, КСВ входа и выхода МШУ составля- ховатость и угол травления металли- импеданс входа второго каскада усиле- ют не более 1,5 и 2,5 соответственно. зации. С повышением рабочего диапа- ния является комплексно сопряжённым зона частот проектируемых устройств импедансу выхода первого каскада, что Как видно из рисунка 11, расчёт- эти параметры начинают влиять на обеспечивает комплексно сопряжённое ный коэффициент шума не превыша- согласование между каскадами. ет 0,9 дБ. СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 3 2018 WWW.SOEL.RU 51
ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ Altium Designer S21 14 ODB++ 13 PCB import Wizard 12 11 Symbol Generator Wizard 10 Рис. 12. Схема получения электромагнитной модели топологии печатной 9 платы МШУ 8 7 6 5 4 500 750 1000 1250 1500 1750 2000 2250 2500 2750 3000 Частота, Мгц Рис. 13. Зависимость коэффициента передачи первого каскада усиления от частоты VSWR(1) 1000 1250 1500 1750 2000 2250 2500 2750 3000 10 Частота, Мгц 9 8 7 6 5 4 3 2 1 0 500 750 Рис. 14. Зависимость КСВ входа первого каскада усиления от частоты VSWR(2) Коэффициент шума 3,5 1,5 3 1,38 2,5 1,26 2 1,5 1,14 1 1,02 0,5 0,9 0 0,78 –0,5 0,66 –1 500 750 1000 1250 1500 1750 2000 2250 2500 2750 3000 0,54 Частота, МГц 0,42 2058 2062 2066 2070 2074 2078 2082 2086 2090 Рис. 15. Зависимость КСВ выхода первого каскада усиления от частоты 0,3 Частота, МГц 2050 2054 Рис. 16. Зависимость коэффициента шума первого каскада от частоты сходимость результата моделиро- элементов схемы МШУ для проведения с учётом электромагнитного расчёта вания с измерениями всё в большей комбинированного расчёта. топологии смещена вниз по частоте степени. Угол травления металлиза- примерно на 250 МГц относительно ции (Etch Angle) на данный момент РЕЗУЛЬТАТЫ МОДЕЛИРОВАНИЯ зависимости на рисунке 6. Полученные является всего лишь анонсирован- В EM STRUCTURES результаты измерений качественно ным параметром, не влияющим на рас- повторяют форму зависимости коэф- чёт и предназначенным для будущих Результаты моделирования фициента передачи с учётом электро- версий Microwave Office. После расчё- первого каскада усиления в EM магнитного расчёта. Ошибка по абсо- та топологии в модуле EM Structures с Structures лютному значению не превышает 1 дБ. помощью утилиты Symbol Generator Wizard создаётся условное обозначе- На рисунке 13 отражена зависи- Измеренная зависимость КСВ входа ние печатной платы МШУ для моду- мость коэффициента передачи первого и выхода от частоты, графически пред- ля Circuit Schematics. Условное обо- каскада усиления от частоты, получен- ставленная на рисунках 14 и 15, также значение платы помещается в модуль ная после моделирования топологии качественно повторяет результаты, Circuit Schematics в качестве подцепи печатной платы в модуле EM Structures. полученные с учётом электромагнит- (SubCircuit), где к нему подключают- На этом же рисунке приведены резуль- ного расчёта топологии. ся ВЧ-модели активных и пассивных таты измерений первого каскада МШУ. Измеренное значение коэффициента Как видно из рисунка 13, расчётная шума первого каскада МШУ не превы- зависимость коэффициента передачи 52 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 3 2018
ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ Задержка 1000 1250 1500 1750 2000 2250 2500 2750 3000 S21 5 Частота, МГц 79 4 69 3 59 2 49 1 39 0 29 –1 19 –2 –3 9 –4 –1 –5 –11 –21 500 750 500 750 1000 1250 1500 1750 2000 2250 2500 2750 3000 Рис. 17. Зависимость ГВЗ первого каскада от частоты Частота, МГц Рис. 18. Зависимость коэффициента передачи МШУ от частоты VSWR(1) 1000 1250 1500 1750 2000 2250 2500 2750 3000 VSWR(2) 1000 1250 1500 1750 2000 2250 2500 2750 3000 10 Частота, МГц 11 Частота, МГц 10 9 8 9 7 8 6 7 5 6 4 5 3 4 2 3 1 2 0 1 500 750 500 750 Рис. 19. Зависимость КСВ входа МШУ от частоты Рис. 20. Зависимость КСВ выхода МШУ от частоты Коэффициент шума Задержка 1,2 5 1,16 4 1,12 3 1,08 2 1,04 1 0 1 –1 0,96 –2 0,92 –3 0,88 –4 0,84 –5 0,8 500 750 1000 1250 1500 1750 2000 2250 2500 2750 3000 2000 2012 2024 2036 2048 2060 2072 2084 2096 2108 2120 Частота, МГц Частота, МГц Рис. 22. Зависимость ГВЗ МШУ от частоты Рис. 21. Зависимость коэффициента шума МШУ от частоты шает 1 дБ. Сравнение частотной зависи- четырёхкаскадного МШУ от частоты, вания качественно повторяют друг дру- мости расчётного коэффициента шума полученной после моделирования га. Значение КСВ входа не превышает с измеренными значениями представ- топологии печатной платы в модуле 1,9 в интересующем диапазоне частот, лено на рисунке 16. EM Structures. На этом же рисунке при- значение КСВ выхода не превышает 1,3 ведены результаты измерений. в интересующем диапазоне частот. На рисунке 17 приведён график зави- симости группового времени запазды- Как видно из рисунка 18, результаты Измеренное значение коэффициента вания сигнала при прохождении через измерений точно повторяют смодели- шума МШУ не превышает 1 дБ в иссле- тракт усилителя. рованную характеристику. Коэффици- дуемом диапазоне частот. Сравнение ент передачи в интересующем нас диа- частотной зависимости расчётного Результаты моделирования пазоне частот составляет не менее 60 дБ. коэффициента шума с измеренными четырёх каскадов усиления значениями представлено на рисунке 21. в EM Structures На рисунках 19 и 20 приведено срав- нение измеренных значений КСВ входа На рисунке 22 приведена зависи- На рисунке 18 изображён график и выхода МШУ с расчётными значения- мость ГВЗ сигнала при прохождении зависимости коэффициента передачи ми. Результаты измерений и моделиро- через тракт МШУ. СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 3 2018 WWW.SOEL.RU 53
ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ ЗАКЛЮЧЕНИЕ Замечено, что при использовании нения МШУ и размещения разъёмов в верхнего слоя металлизации нену- корпусе МШУ. В соответствии с результатами левой толщины происходит смеще- моделирования был изготовлен ние частотной зависимости характе- ЛИТЕРАТУРА макет МШУ S-диапазона. Смодели- ристик устройства вниз по частоте. рованные характеристики устрой- Данный эффект подтверждён резуль- 1. Карсон Р. Высокочастотные усилители. – ства были подтверждены результата- татами измерений. Введение такого М.: Радио и связь, 1981. ми измерений. Полученная корреля- параметра, как угол травления метал- ция между результатами измерений лизации (Etch Angle), в будущих вер- 2. Gonzalez G. Microwave Transistor и результатами моделирования обу- сиях Microwave Office ожидаемо долж- Amplifiers: Analysis and Design (2nd словлена разумным подходом к моде- но привести к увеличению сходимости Edition). – ISBN 978-0132543354, 1996. лированию устройства с учётом опре- результатов макетирования и модели- делённых особенностей техноло- рования. 3. NI AWR Design Environment. Руководство гии производства печатной платы, по Microwave Office. – 2017. использования ВЧ-моделей актив- Некоторые несоответствия между ных и пассивных элементов схемы, результатами моделирования и маке- 4. NI AWR Design Environment. Model XML-list: проведения полноволнового электро- тирования можно отнести к тому, что http://downloads.awrcorp.com/weblibs/13_0/ магнитного моделирования тополо- при моделировании не были учтены top_v13.xml гии МШУ. моменты корпусированного испол- 5. Marion L. E. A new criterion for linear 2-port sta- bility using a single geometrically derived para- meter / IEEE transactions on microwave theory and techniques. Vol. 40, № 12, December 1992. НОВОСТИ МИРА ных сведений из централизованных хранилищ уникальных персональных номеров AADHAAR, влечёт ещё более тяжёлые последствия, чем которые, в частности, служат для аутентифи- КАК УТЕКАЮТ БИОМЕТРИЧЕСКИЕ утечка классических персональных данных. кации в банках и при получении ряда госу- дарственных услуг. В мае 2017 года прави- ДАННЫЕ Крупнейшей мировой базой биометриче- тельственные организации допустили утечку Для идентификации личности и доступа к ских данных на сегодня считается UIDAI – си- порядка 135 млн данных. По сведениям пор- стема идентификации граждан и резидентов тала WikiLeaks, биометрической информаци- различным сервисам всё чаще используется Индии. В ней содержится более миллиарда ей миллионов индусов завладело ЦРУ. Амери- биометрическая информация (отпечатки паль- канская спецслужба использовала технологию цев, изображение радужной оболочки глаза, кибершпионажа, разработанную Class Match. слепок голоса и т.д.). Компрометация подоб- Хотя власти Индии неоднократно заявля- БЕЗЛИЦЕНЗИОННАЯ ПОСТАВКА Реклама ли о безопасности данных UIDAI, в начале 2018 года национальное хранилище было • Уровень дозовой стойкости 30, 50 и 100 крад скомпрометировано полностью. • Стойкость к воздействию заряженных частиц с ЛПЭ до 86 МэВ·см2/мг • Высокая удельная мощность Охоту за сведениями физиологического и биологического характера уже ведут ха- www.inter-pro.net керские группировки. Так, в прошлом году [email protected] злоумышленники взломали сеть американ- ской компании Avanti Markets, производите- ля киосков самообслуживания для приоб- ретения закусок и напитков. Судя по все- му, киберпреступники получили доступ не только к платёжным данным, но и к биоме- трической информации клиентов компании. На Филиппинах в феврале 2017 года про- изошла утечка данных всех избирателей. В компьютере, который был украден из Ко- миссии по выборам, находилась биометрия (отпечатки пальцев) 55 млн граждан. Хо- тя данные были зашифрованы, нельзя ис- ключать, что злоумышленники могли из- влечь их. Аналогичный инцидент ранее случился в Гане. Из избирательной комиссии похище- ны четыре компьютера с данными биометри- ческой регистрации голосующих граждан. К счастью, технические специалисты избирко- ма сделали копии данных на USB-накопители. Аналитический центр компании InfoWatch 54 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 3 2018
Реклама
ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ Проектирование цифровых фильтров малой разрядности с целочисленными коэффициентами Никита Морозов, Владимир Бугров ([email protected]) Однако возможность прямого синтеза цифровых фильтров непосредственно В статье рассматриваются вопросы моделирования и синтеза цифровых в целочисленном пространстве состо- фильтров малой разрядности с учётом возможностей их реализации яний может быть предоставлена мето- на цифровых платформах с целочисленной арифметикой вычислений, дологией целочисленного нелинейно- осуществляется постановка задачи многофункционального синтеза го программирования (ЦНП), описание фильтров методами целочисленного нелинейного математического которой приведено в работах [5, 6]. Под программирования, иллюстрируется эффективность применения пространством состояний в данном слу- данного подхода на примерах решения задач синтеза полосно- чае понимается, прежде всего, много- пропускающих КИХ- и БИХ-фильтров минимальной разрядности мерное пространство целочисленных целочисленных коэффициентов, а также проводится анализ параметров (коэффициентов) цифро- характеристик синтезированных фильтров. вого фильтра, входных xn и выходных yn сигналов – целочисленных временны′ х ВВЕДЕНИЕ ной слова коэффициентов [2, 3] при последовательностей, а также базовые использовании в алгоритмах цифро- целочисленные операции над данны- Совокупное качество цифрового вой фильтрации вещественной ариф- ми в алгоритме цифровой фильтрации. фильтра определяется как его селек- метики вычислений в формате с фикси- Естественно, целочисленные операции тивной способностью, так и быстро- рованной или плавающей точкой. Для на любой цифровой платформе выпол- действием, то есть минимальным вре- БИХ-фильтров в настоящее время пре- няются значительно быстрее, чем опе- менем расчёта отклика при реализации обладает косвенное их проектирование рации вещественных вычислений. Чис- на заданной цифровой платформе. Под по аналоговому прототипу с примене- ло тактов центрального процессорного селективной способностью при этом нием метода билинейного преобразо- устройства, необходимых для реали- обычно понимают возможность удов- вания [1] либо различных подходов, на зации базовых целочисленных опера- летворения совокупности требуемых нём базирующихся [4], при использо- ций, существенно меньше. Например, характеристик фильтра в частотной вании в алгоритме фильтрации также для микроконтроллерного процессо- области. КИХ-фильтры, как известно, вещественной арифметики вычисле- ра C8051F120, имеющего возможность имеют относительно низкую селектив- ний. Вещественный формат представ- работать как с целочисленным, так и с ную способность, когда для реализа- ления данных вынуждает квантовать их вещественным форматом представле- ции частотной характеристики слож- значения, что при малой разрядности ния данных, базовые операции сложе- ной формы с резкими срезами требуется коэффициентов приводит к существен- ния реализуются в семь раз быстрее, импульсная характеристика с большим ному искажению частотных характе- а операции умножения более чем в числом отсчётов, что определяет и боль- ристик и появлению шумов квантова- четыре раза быстрее для целочислен- шой объём цифровых вычислений для ния, а для рекурсивных фильтров – так- ной арифметики в сравнении с веще- расчёта отклика фильтра. Рекурсивные же и к возможности появления малых ственными вычислениями. же БИХ-фильтры, являясь дискретными предельных циклов при квантовании системами с обратной связью, обладают результатов внутренних вычислений. Идеология ЦНП позволяет эффек- значительно бо′льшими селективными К тому же проектное решение в веще- тивно проектировать целочисленные возможностями и позволяют реализо- ственных числах может быть реализо- цифровые фильтры (ЦЦФ) с заданной вать требуемые частотные характери- вано только на специализированных разрядностью представления данных и стики значительно меньшим порядком сигнальных процессорах, тогда как наи- максимальным выполнением требова- фильтра. При этом к основным факто- более перспективная на сегодняшний ний к совокупности частотных харак- рам, определяющим быстродействие, день программируемая логика, а так- теристик фильтра при произвольной относятся, прежде всего, арифметика же микропроцессорные контроллеры форме их задания. В настоящее время вычислений и длина слова коэффициен- требуют принципиально целочислен- в общей номенклатуре коммерческих тов (разрядность) цифрового фильтра. ных проектных решений, целочислен- цифровых платформ существенную ной арифметики вычислений. Вопро- долю занимают 8-разрядные цифро- Обзор публикаций по классиче- сам квантования при аналитическом вые платформы с целочисленной ариф- ским методам проектирования КИХ- расчёте как КИХ-, так и БИХ-фильтров метикой вычислений. При реализации фильтров показывает, что в настоящее посвящено много работ. В целом мож- высокоскоростных ЦЦФ на специализи- время преобладает их аналитический но отметить, что при высоком поряд- рованных платформах или на кристал- расчёт с применением взвешивания с ке фильтра весьма существенные труд- ле разрядность представления данных помощью окна, частотной выборки, ности возникают уже при квантовании может быть ещё ниже (до 4 или даже до оптимального (по Чебышеву) мето- вещественных данных до 7–8 бит. 3 бит), а снижение разрядности данных да [1] и некоторых других подходов к даже на 1 бит может сэкономить до 50% синтезу КИХ-фильтров с конечной дли- 56 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 3 2018
ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ оперативной памяти при существенном . (3) , (4) уменьшении времени расчёта отклика фильтра [7]. В связи с этим для высоко- Как известно, в каскадных формах где комплексная переменная z при скоростных фильтров на кристалле раз- построения цифровых фильтров необ- переходе к описанию частотной харак- рядность представления данных во мно- ходима процедура масштабирования теристики K(ejω)= K(ejω) ejϕ(ω) принима- гом является определяющим фактором. сигнала, то есть равномерной расклад- ет значение z=ejω, а ω=2πf/fs – цифро- ки усиления по каскадам. Это позволя- вая частота. В данной статье рассматривается воз- ет фильтру работать в широком дина- можность решения задач синтеза полосно- мическом диапазоне входных сигна- Все коэффициенты системной функ- пропускающих целочисленных КИХ- и лов. Однако в каскадных ЦЦФ расчёт ции (4) являются целочисленными, а БИХ-фильтров минимальной разрядно- такого масштабирования целочислен- их интервал изменения определяется сти представления данных компьютер- ного звена легче осуществлять не при- заданной длиной битового слова (раз- ным пакетом ЦНП (версии 3.1). Эти при- менением Lр-нормы, а прямым введе- рядностью) коэффициентов фильтра. меры иллюстрируют принципиальные нием требования обеспечения мало- Из соотношения (4) легко получается возможности многофункционального го разброса коэффициентов передачи разностное уравнение для одного звена проектирования цифровых систем чис- отдельных звеньев при синтезе филь- целочисленного БИХ-фильтра: ленными методами дискретного про- тра [6]. Как показывает практика, суще- граммирования. В настоящее время на ственного сужения динамического , (5) практике наиболее часто используется диапазона фильтра не происходит, построение БИХ- и КИХ-фильтров в фор- если максимальные коэффициенты где xn, yn – входная и выходная цело- ме каскадного соединения звеньев второ- передачи его каскадов различают- численные временны′ е последователь- го порядка, при этом синтез полосовых ся не более чем в 5–7 раз. При более ности, a0 – нормирующий множитель. фильтров осуществлялся как по критерию грубом покаскадном масштабирова- требуемой полосовой селективности, так нии сужение динамического диапазо- Как видно из (5), при вычислении и по критерию обеспечения возможной на становится заметным. Формально отклика фильтра должна выполнять- линейности фазы в полосе пропускания требования масштабирования сигнала ся операция деления на целочислен- фильтра. Таким образом, целевая функ- записываются двусторонними функ- ный коэффициент a0, которая может ция в приведённых ниже проектных циональными ограничениями экстре- быть реализована операцией побито- задачах формировалась в виде взвешен- мальных задач ЦНП-синтеза. вого сдвига при условии принадлежно- ной суммы двух частных целевых функ- сти каждого i-го коэффициента бино- ций fАЧХ(IX) и fФЧХ(IX), обеспечивающих В общем виде постановка задачи миальному целочисленному ряду (ряду выполнение требований амплитудной целочисленного нелинейного про- степеней числа 2): селекции фильтра и линейности его фазы граммирования при машинном синте- соответственно: зе рекурсивных и нерекурсивных ЦЦФ , (6) с заданной разрядностью представле- . (1) ния данных рассмотрена в [5, 6]. При где Wk – длина битового слова целочис- этом для численного решения экстре- ленных коэффициентов, включая знак. Можно заметить, что часто требова- мальных задач проектирования ЦЦФ ние линейности фазочастотной харак- используется эффективный метод син- На рисунке 1 приведена типичная теристики заменяется эквивалентным теза с поиском глобального экстрему- структура звеньев рекурсивного цело- ему требованием постоянства груп- ма на дискретной сетке кода Грея [8]. численного фильтра, соответствующая пового времени запаздывания (ГВЗ) в Данный метод адаптирован к поис- разностному уравнению (5). Как видно, рабочем диапазоне фильтра. Коэффи- ку решений в режиме дискретного при вычислении отклика фильтра, кро- циенты βi определяют значимость (вес) целочисленного представления мно- ме традиционных операций сложения, характеристики, а сами частные целе- гомерной области поиска. Вектор IX0, умножения и задержки на такт, присут- вые функции формирует функциональ- минимизирующий скалярную целевую ствует операция сдвига на B=log2a0 бит, ный редактор пакета синтеза по крите- функцию F(IX) на множестве допусти- с помощью которой реализуется цело- рию минимума среднеквадратичного мых целочисленных решений, явля- численное деление на биномиальный отклонения: ется Парето-эффективным решени- нормирующий коэффициент a0. ем задачи синтеза малоразрядного , (2) ЦЦФ по совокупности противоречи- Как известно, рекурсивный фильтр вых характеристик. будет устойчив, если все полюсы pi где Yn(IX) – текущее значение харак- передаточной функции проектируемо- теристики фильтра на n-й дискретной МОДЕЛИРОВАНИЕ И СИНТЕЗ частоте диапазона определения, а YnT– ПОЛОСОВОГО БИХ-ФИЛЬТРА x B=log a y требуемое значение частотной харак- nb 20 n теристики. МАЛОЙ РАЗРЯДНОСТИ Передаточная функция для рекурсив- 0 Часто ради экономии времени расчё- та в соотношении (2) корень не извлека- ного ЦЦФ, состоящего из каскадного Z–1 Z–1 ют и осуществляют синтез по ненорми- соединения m-звеньев второго порядка b –a рованному квадратичному критерию: (m=N/2, где N – общий порядок филь- тра), имеет следующий вид [5]: 1 1 Z–1 Z–1 b –a 2 2 Рис. 1. Структура звена рекурсивного ЦЦФ СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 3 2018 WWW.SOEL.RU 57
ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ Рис. 2. Ввод требуемой АЧХ полосового фильтра Рис. 3. Ввод требуемой ФЧХ в полосе пропускания фильтра го БИХ-фильтра удовлетворяют следу- учесть, что накопление результата цело- 7. Максимально допустимый радиус по- ющему условию: численного умножения в (2) осущест- люсов – 0,9. вляется алгебраически, с учётом знака , (7) слагаемых, что существенно понижа- 8. Масштабирование коэффициентов ет разрядность результата. В алгоритме передачи звеньев в интервал {1–3}. где rmax – допустимый максимальный расчёта отклика целочисленного звена Относительно целевой функции (1) радиус полюсов передаточной функ- единственным случайным процессом ции фильтра в z-плоскости, при кото- (т.е. источником шума) является опера- задача целочисленного программирова- ром в системе не возникают предель- ция нормировки, деления на биномиаль- ния для многофункционального синте- ные циклы [5]. ный множитель a0, которая, как показано за рекурсивного ППФ в форме каскадно- выше, реализуется регистровым сдвигом го соединения 10 звеньев прямой фор- Так, в пакете ЦНП 3.1 возможно вправо на B=log2a0 бит с потерей данных мы второго порядка записывалась так: задание следующей линейки значе- в младших разрядах. Таким образом, в ний максимальных радиусов полюсов целочисленном фильтре на каждое , (8) при решении конкретной проектной звено приходится по одному источни- задачи: rmax={1,0 0,98 0,9 0,82 и 0,75}. Как ку шума, своим происхождением обя- , (9) показала практика, при синтезе с мень- занному операции сдвига [6]. В циф- шим значением максимального ради- ровых же платформах с вещественной , (10) уса практически всегда удаётся полу- арифметикой вычислений представле- чить проектное решение без предель- ние результата умножения веществен- , (11) ных циклов того или иного рода, хотя ных чисел конечным числом разрядов селективная способность фильтра при носит стохастический характер и, есте- . (12) этом, естественно, снижается. ственно, требует процедуры квантова- ния, результатом которой и является сто- Таким образом, итоговая миними- Что касается результатов необходи- хастический шум квантования. зация целевого функционала по сце- мых для расчёта отклика ЦЦФ проме- нарию динамического программиро- жуточных вычислений, то все они так- Синтез рекурсивного полосно-про- вания [6] осуществлялась на 50-мер- же являются целочисленными, а резуль- пускающего фильтра (ППФ) высоко- ном целочисленном пространстве тат умножения целых чисел (например, го порядка в целочисленном простран- 4-битовых параметров в допустимой текущего цифрового отсчёта и коэффи- стве параметров с учётом заданного области (9) при выполнении функцио- циента фильтра) полностью детерми- радиуса полюсов его передаточной нальных ограничений устойчивости нирован и не требует квантования для функции в z-плоскости осуществлялся фильтра (11) по всем полюсам переда- реализации на цифровой платформе с по следующему техническому заданию: точной функции с радиусами, не пре- заданной разрядностью Wk представ- 1. Полоса пропускания – 0,2…0,35 вышающими 0,9 в z-плоскости, и при ления коэффициентов. При заданной масштабировании (12) коэффициентов битовой разрядности квантования вход- (здесь и далее в шкале относитель- усиления звеньев в заданный интервал. ного сигнала Wx достаточно выделить ных частот f/fs, где fs – частота дис- внутренний аккумуляторный регистр кретизации входного сигнала). Для ввода структуры ППФ в програм- с разрядностью Wak=Wx+Wk+2 [бит] для 2. Коэффициент передачи в полосе му использовался встроенный тополо- хранения результата целочисленного пропускания – 0 дБ с допуском ±1 дБ. гический редактор ЦНП-пакета, позво- умножения с накоплением (МАС), осу- 3. Нелинейность фазы в полосе не вы- ляющий сформировать файл исходных ществляемого по алгоритму (2). Коле- ше 3°. данных к решению конкретной задачи баний переполнения, то есть возник- 4. Уровень подавления на частотах ни- синтеза с указанием порядка фильтра, новения больших предельных циклов, же 0,1 и выше 0,45 не менее 40 дБ. числа варьируемых коэффициентов, их вызванных переполнением разрядной 5. Разрядность (длина слова коэффи- начальных значений и границ изменения, сетки регистра-аккумулятора, при таком циентов Wk) – 4 бита, включая знак. а также возможного дублирования коэф- расчёте его разрядности практически 6. Порядок рекурсивного фильтра – 20. фициентов в случае необходимости. При- никогда не возникает, особенно если меры ввода требуемой АЧХ и ФЧХ филь- 58 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 3 2018
ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ 1,03 ImZ 5,00 0 дБ Фаза, ΔϕСКО=2,7° ΔКСКО=0,35 дБ рад/π ΔϕMAX=5,5° К, дБ ΔКMAX=0,98 дБ r 1 O max O 0,9 ReZ –40,0 0,1 0,2 0,3 0,4 0,5 –3,42 Частота, f/fs 0,35 0 Частота, f/fs 0,2 Рис. 5. Фиксация границы максимального а б радиуса полюсов в z-плоскости Рис. 4. Характеристики БИХ-ППФ по синтезу: а) АЧХ; б) ФЧХ в полосе пропускания 2 0,75 0 0,5 –2 0,25 –4 –6 0 –8 –0,25 –10 –0,5 –12 –0,75 K, дБ –14 –16 –1 –18 Фаза, –1,25 –20 рад/π –1,50 –22 –24 –1,75 –2 –26 –28 –2,25 –30 –2,5 –32 –2,75 –34 –3 –36 –3,25 –38 –3,5 –3,75 100 200 300 400 500 600 700 800 900 1000 –4 а Частота, Гц –4,25 400 420 440 460 480 500 520 540 560 580 600 620 640 660 680 700 б Частота, Гц Рис. 6. Экспериментальные измерения: а) АЧХ; б) ФЧХ в полосе пропускания тра в модуле функционального редак- а не их оценки по критерию макси- го ППФ были равны ΔКско=0,35 дБ и тора пакета синтеза представлены на мальной ошибки Δφско=2,7° соответственно. рисунках 2 и 3 соответственно. При вво- де обычно широко используется фрагмен- , На рисунке 5 приведено распределе- тация характеристик фильтра, когда важ- ние полюсов передаточной функции ные их участки выделяются в отдельное где φn(IX) – текущее значение ФЧХ ППФ в z-плоскости с указанием заданной функциональное окно для обеспечения на n-й дискретной частоте интерва- границы (11) допустимого максималь- их детальной проработки в ходе синтеза. ла оценки, а φnL – требуемое значение ного радиуса полюсов rmax=0,9. Так как линейной ФЧХ. некоторые нули передаточной функции В таблице 1 (см. дополнительные мате- выходят за границы единичной окруж- риалы к статье на сайте www.soel.ru) при- Это следует непосредственно из пре- ности, то синтезированный 4-битовый ведены оптимальные значения цело- образования Фурье, которое определя- ППФ не является строго минимальнофа- численных 4-битовых коэффициен- ет именно аддитивное влияние фазо- зовой дискретной системой. тов передаточной функции по синтезу вого и амплитудного спектра всех гар- с одинаковой значимостью (β1=β2) тре- моник обрабатываемого сигнала на Практическая реализация фильтра бований к АЧХ и ФЧХ проектируемого искажение его формы. Высокое зна- осуществлялась на многофункциональ- фильтра, а графики его частотных харак- чение максимальной ошибки далеко ном микроконтроллере MSP430F1611 теристик представлены на рисунке 4. не всегда определяет сильное искаже- фирмы Texas Instruments с целочис- ние формы выходного сигнала при ленным RISC-ядром. Измерение частот- Что касается оценки фазовой нели- фильтрации, а вот высокое значение ных характеристик фильтра осущест- нейности в полосе пропускания син- СКО вполне его подтверждает. По- влялось на реальном сигнале с помо- тезированного рекурсивного ППФ, то этому в дальнейшем для оценки фазо- щью автоматизированной панорамной по критерию влияния на форму выход- вой нелинейности, а также неравно- системы, разработанной в среде вир- ного сигнала корректно применение мерности АЧХ в полосе пропускания туальных приборов LabVIEW. Экспери- аддитивной среднеквадратичной оцен- фильтра будет применяться оценка ментальные графики частотных харак- ки (СКО) фазовых искажений: по критерию (20), хотя и максималь- теристик фильтра на всём интервале ная ошибка будет также приводиться. Найквиста для частоты дискретиза- , (13) В данном случае среднеквадратичные ции fs=2 кГц приведены на рисунке 6. неравномерность АЧХ и нелинейность ФЧХ в полосе пропускания 4-битово- В связи с тем что выходной сигнал снимался непосредственно с ЦАП СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 3 2018 WWW.SOEL.RU 59
ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ x B=log a y 4,00 ΔКСКО=1,0 дБ 0,071 nb 20 n K, дБ Фаза, 0 рад/π ΔϕСКО=9° Z–1 –50,0 –4,59 0,275 b 0 0,1 0,2 0,3 0,4 0,5 0,19 1 а Частота, f/fs б Z–1 b 2 Частота, f/fs Рис. 7. Структура целочисленного КИХ-звена Рис. 8. Характеристики КИХ-ППФ по синтезу: а) АЧХ; б) ФЧХ в полосе пропускания микроконтроллера, наблюдается неко- Далее показана возможность синте- ющих коэффициентов a0i биномиаль- торое возрастание шумов измерения за пакетом ЦНП 3.1 и каскадных цело- ному целочисленному ряду. Ограниче- вблизи частоты Найквиста, где эффек- численных КИХ-фильтров минималь- ния (18) масштабируют коэффициенты ты квантования входного аналогово- ной разрядности представления дан- передачи звеньев в заданный интервал. го сигнала наиболее выражены. Ана- ных. Синтез 4-битового ППФ высокого лиз выходного сигнала при нулевом порядка в целочисленном простран- В таблице 2 (см. дополнительные мате- входе показал, что предельные циклы стве параметров осуществлялся по сле- риалы к статье на сайте www.soel.ru) при максимальном радиусе полюсов дующим требованиям: приведены оптимальные значения 0,87 в синтезированном ППФ отсут- 1. Полоса пропускания фильтра – целочисленных 4-битовых коэффи- ствуют. Таким образом, все функцио- циентов передаточной функции по нальные требования по ЦНП-синтезу 0,19…0,275 в шкале f/fs. синтезу полосового КИХ-фильтра, а 4-разрядного рекурсивного ППФ были 2. Коэффициент передачи в полосе про- также достигнутые значения коэф- выполнены. фициентов усиления отдельных его пускания – 0 дБ с допуском ±1,0 дБ. звеньев. Графики частотных харак- МОДЕЛИРОВАНИЕ И СИНТЕЗ 3. Нелинейность фазы в полосе не вы- теристик представлены на рисунке 8. ПОЛОСОВОГО КИХ-ФИЛЬТРА Время решения данной задачи на стан- ше 10°. дартном персональном компьютере не МАЛОЙ РАЗРЯДНОСТИ 4. Уровень подавления на частотах ни- превышало 10 минут. Состояние пане- Передаточная функция целочис- ли синтеза программы в точке цело- же 0,12 и выше 0,35 не менее 50 дБ. численного оптимума приведено на ленного КИХ-фильтра, состоящего из 5. Длина слова коэффициентов Wk – рисунке 9. каскадного соединения m-звеньев вто- рого порядка (m=N/2), имеет следую- 4 бита, включая знак. Как видно, среднеквадратичные щий вид [6]: 6. Общий порядок КИХ-фильтра – 56. неравномерность АЧХ и нелинейность 7. Масштабирование коэффициен- ФЧХ в полосе пропускания 4-битового , ППФ были равны ΔКско=1 дБ и Δφско=9° тов передачи звеньев в интервал соответственно. Процесс измерения в откуда легко получается разностное {0,8…2,0}. модуле анализа программы полосовой уравнение для одного целочисленно- Требуемая АЧХ фильтра приведена нелинейности ФЧХ фильтра отображён го КИХ-звена: на рисунке 8а (выделена красным цве- на рисунке 10. том). При синтезе ППФ по сценарию , (14) динамического программирования и На рисунке 11а приведено сравне- целевой функции (1) весовые коэф- ние 4- и 14-битового ЦНП-решений по На рисунке 7 приведена структу- фициенты оконных целевых функ- полосовому КИХ-фильтру, а на рисун- ра целочисленного КИХ-звена второ- ций определялись практически в ходе ке 11б – 4-битовое решение данной го порядка, соответствующая уравне- решения следующей экстремальной задачи методом окна в пакете MATLAB. нию (14). Как видно, кроме традицион- задачи целочисленного программи- Как видно, при квантовании до 4 бит ных операций сложения, умножения и рования: селективные требования не выполня- задержки на такт, также присутствует ются как в полосе пропускания, так и в операция сдвига на B=log2a0 бит, с помо- , (15) полосе непропускания ППФ. щью которой, как уже сказано, реализу- ется целочисленное деление на бино- , (16) Практическая реализация полосово- миальный нормирующий коэффи- го КИХ-фильтра осуществлялась также циент a0.Таким образом, при расчёте , (17) на МК MSP430F1611. Графики экспери- отклика фильтра используется мини- ментальных частотных характеристик мальное количество базовых опера- , (18) фильтра на всём интервале Найквиста ций, причём все эти операции целочис- для частоты дискретизации fs=1 кГц ленные, что определяет существенное Экстремальная задача синтеза (15) (см. рис. 12) соответствуют данным повышение быстродействия фильтра записана относительно целочислен- синтеза с высокой точностью. при работе в реальном времени. ного пространства параметров (коэф- фициентов КИХ-фильтра) размерно- ЗАКЛЮЧЕНИЕ стью 84. Ограничения (16) задают гра- ницы изменения этих целочисленных Методы целочисленного нелинейно- коэффициентов, а соотношение (17) го программирования являются эффек- определяет принадлежность нормиру- 60 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 3 2018
ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ Рис. 9. Панель синтеза полосового КИХ-фильтра Рис. 10. Измерение линейности ФЧХ в полосе пропускания тивным методом проектирования дискретностью их представления в 4,00 W =14 линейных цифровых фильтров. Клас- частотной области и в вычислительной K, дБ k сическое проектирование базируется, системе представлены двумерными как известно, на аналитическом пред- массивами (векторами). Это, с одной W =4 ставлении функциональных зависимо- стороны, даёт возможность рассчиты- k стей, начиная с аналитической аппрок- вать с заданной точностью все требу- симации требуемой частотной харак- емые характеристики фильтра (вклю- –50,0 теристики фильтра, что, естественно, чая и дисперсионные характеристики) 0 0,1 0,2 0,3 0,4 0,5 приводит к чрезвычайной сложно- численными методами, с другой – дела- сти аналитических вычислений даже ет возможным применение для синтеза а Частота, f/fs в относительно простых проектных технического решения эффективных задачах. Если же необходимо реали- поисковых методов дискретного про- 4,00 MATLAB зовать некий сложный закон измене- граммирования, позволяющих осу- K, дБ ЦНП ния характеристики, например фазы ществлять проектирование непосред- коэффициента передачи либо её про- ственно в целочисленном простран- –50,0 изводных (ГВЗ и дисперсии), то анали- стве параметров. Критерием поиска 0 0,1 0,2 0,3 0,4 0,5 тический расчёт фильтра становится при этом является соответствие теку- Частота, f/fs невозможен. щего функционирования синтезируе- мого фильтра требуемому функциони- б Принципиальная особенность ЦНП- рованию по совокупности частотных синтеза состоит в применении совре- характеристик. Современные алгорит- Рис. 11. Решения по полосовому КИХ-фильтру: менных численных методов машин- мические комплексы целочисленной а) АЧХ 4- и 14-битового ППФ; б) 4-битовое ного проектирования, позволяющих минимизации позволяют решать такие решение MATLAB работать не с аналитическим, а с дис- проектные задачи надёжно и эффек- кретным представлением характери- тивно при выполнении всех внешних стик проектируемого фильтра, когда требований и ограничений к работе требуемые и текущие характеристи- цифрового фильтра, что даёт возмож- ки фильтра табулированы с заданной АЧХ, дБ4 1 ФЧХ, рад/π 0 2 –1 0 75 100 125 150 175 200 225 250 275 300 325 350 375 400 425 450 475 500 –2 –2 Частота, Гц –3 –4 –4 –6 –5 –8 –6 –10 –7 –12 –8 –14 –9 –16 –10 –18 –11 –20 –22 50 75 100 125 150 175 200 225 250 275 300 325 350 375 400 425 450 475 500 –24 –26 б Частота, Гц –28 –30 –32 –34 –36 –38 50 а Рис. 12. Экспериментальные измерения 4-битового ППФ: а) АЧХ; б) ФЧХ СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 3 2018 WWW.SOEL.RU 61
ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ ность существенно повысить качество ность отыскания эффективного реше- ЛИТЕРАТУРА проектируемых фильтров и сократить ния экстремальной задачи ЦНП. Хоро- время их разработки. шего начального приближения (прото- 1. Айфичер Э., Джервис Б. Цифровая обра- типа) здесь не требуется. Как правило, в ботка сигналов: практический под- Из материалов, приведённых в статье, проектных задачах со сложными селек- ход. – М.: ИД «Вильяме», 2004. видны следующие преимущества син- тивными требованиями оптимальное теза цифровых фильтров численными решение определяется не из начальной 2. Fox T.W., Turner L.E. The design of peak- методами ЦНП в сравнении с традици- точки, заданной пользователем, а из constrained least squares FIR filters with low- онными подходами: точки, сгенерированной самим поис- complexity finiteprecision coefficients // IEEE ковым алгоритмом решения задачи. Trans. Circuits and Syst.: II. 2002. Vol. 49. № 2. Синтез осуществляется по совокуп- ности требуемых частотных характе- Необходимое масштабирование сигна- 3. Nakayama K. A discrete optimization ристик при произвольной форме их ла в каскадных структурах обеспечивает- method for high-order FIR filters with finite задания и заданной частотной шкале. ся непосредственно в ходе ЦНП-синтеза wordlength coefficients // ICASSP. 1982. Vol. 1. целочисленного фильтра. Здесь нет необ- Имеется возможность проектиро- ходимости использования косвенных 4. Мингазин А.Т. Синтез передаточных функ- вания фильтров с малой разрядно- приёмов масштабирования усиления ций цифровых фильтров в области дис- стью представления данных (вплоть применением, например, Lp-нормы. кретных значений коэффициентов (обзор). до 3 бит) непосредственно в целочис- Электронная техника. Сер. 10. 1993. № 1, 2. ленном пространстве коэффициентов. Целочисленная дискретизация про- странства параметров (коэффициен- 5. Морозов Н.С., Бугров В.Н. Проектиро- Для целочисленных БИХ-фильтров тов) фильтра позволяет получать про- вание целочисленных цифровых БИХ- устойчивость решения гарантируется при- ектные решения в целых числах, что фильтров. Современная электроника. оритетным выполнением функциональ- обеспечивает максимальное быстро- 2017. № 8. ных условий устойчивости в процессе действие при работе фильтра в реаль- ЦНП-синтеза. При этом возможно задание ном времени и снимает все ограниче- 6. Бугров В.Н. Целочисленные цифровые требуемого максимального радиуса полю- ния по арифметике вычислений при фильтры. Монография. – Saarbrucken: сов передаточной функции, что позволя- его реализации на любых цифровых Lambert Academic Publishing, 2017. – 156 с. ет эффективно управлять добротностью платформах (сигнальных процессо- проектируемого фильтра в случае возник- рах, контроллерах, FPGA) с заданной 7. Dehner G. On the design Cauer filters with новения малых предельных циклов. разрядностью представления данных. coefficients of limited wordlength. 1975. V. 26. № 4. Глобальная модельная идеология поиска определяет высокую надёж- 8. Воинов Б.С., Бугров В.Н., Воинов Б.Б. Инфор- мационные технологии и системы: поиск оптимальных, оригинальных и рациональ- ных решений. – М.: Наука, 2007. 62 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 3 2018
Реклама
ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ Разработка GaAs mHEMT МИС МШУ Ku-диапазона частот на основе технологического процесса компании OMMIC Алексей Кондратенко ([email protected]) и минимуму коэффициента шума совпа- дают. Таким образом, вторым приори- В статье представлены результаты разработки двух монолитных тетным требованием к разрабатывае- интегральных схем Ku-диапазона: малошумящего усилителя мым усилителям ставилось обеспечение с интегрированным полосно-пропускающим фильтром, предназначенного коэффициента шума не более требуемо- для применения в приёмном тракте системы спутниковой связи, а также го при низком коэффициенте отражения малошумящего усилителя широкого применения. от входа. Требование достигалось путём установки необходимой глубины обрат- ВВЕДЕНИЕ mHEMT процесса D007IH компании ной связи и ощущаемого сопротивления OMMIC (Франция), выступившей в нагрузки первого каскада усилителя. Создание монолитных интегральных качестве технологического партнёра. схем (МИС) СВЧ, способных функцио- РЕАЛИЗОВАННЫЕ нировать в условиях воздействия специ- ОСОБЕННОСТИ ПРОЕКТИРОВАНИЯ альных факторов, а также их последую- МИС СХЕМОТЕХНИЧЕСКИЕ щее массовое воспроизводство являются И ТОПОЛОГИЧЕСКИЕ РЕШЕНИЯ актуальными задачами в связи с разви- Первым приоритетным требовани- тием спутниковых систем на базе актив- ем к МИС МШУ являлось обеспечение Топология кристалла МИС МШУ с ных фазированных решёток (АФАР). основных параметров СВЧ (коэффици- интегрированным ППФ (проект AM501) Однако для России по-прежнему сле- ент усиления не менее 20 дБ, коэффи- представлена на рисунке 1. Габаритные дует констатировать факт отсутствия циент шума не более 1,5 дБ, выходная размеры кристалла – 2,5×1,8×0,1 мм. перехода к сертификации технологиче- мощность при сжатии коэффициента ских процессов изготовления МИС СВЧ усиления на 1 дБ не менее 0 дБм) при МИС содержит два каскада на мета- в целом, что является более целесообраз- общем токе потребления усилителя, не морфных транзисторах с высокой под- ным и экономически выгодным в срав- превышающем 25 мА. Исходя из это- вижностью электронов с интегрирован- нении с проведением полных испыта- го был осуществлён выбор суммарной ными цепями согласования, коррекции ний отдельных типов МИС. Европейские ширины затвора транзистора, а также амплитудно-частотной характеристики, а фабрики (такие как OMMIC и UMS) уже рабочей точки каждого каскада. также ввода и блокировки питания. В обо- давно следуют данным курсом и облада- их каскадах применено классическое для ют технологическими процессами, сер- Согласно [3] оптимальным усилите- МШУ решение – последовательная обрат- тифицированными Европейским кос- лем для использования в сканирующих ная связь в виде высокоомного отрезка мическим агентством [1, 2]. АФАР (либо в условиях изменения сопро- линии передачи в истоке транзистора. тивления генератора сигнала в широком В статье представлены результаты интервале) является усилитель, у которо- Ввиду высокой крутизны передаточ- разработки двух МИС Ku-диапазона. го условия согласования по максимуму ной характеристики транзистора про- Обе МИС разработаны на основе GaAs коэффициента передачи по мощности цесса OMMIC D007IH и гарантии обеспе- чения работоспособности усилителя в условиях технологического разброса 30 7 20 6 5 S21, дБ 10 04 К –10 3 –20 S22, дБ 2 S11, дБ 1 –30 NF, дБ 0 –40 18,5 19 19,5 20 20,5 15,5 16 16,5 17 17,5 18 Рис. 1. Топология кристалла МИС AM501 Частота, ГГц 64 Рис. 2. Частотные характеристики МИС AM501 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 3 2018
ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ 30 К 3,5 3 20 S22, дБ 2,5 S21, дБ 2 1,5 10 0 –10 Рис. 3. Топология кристалла МИС AM502 –20 1 NF, дБ –30 0,5 S11, дБ –40 0 15,5 16 16,5 17 17,5 18 18,5 19 19,5 20 20,5 21 21,5 Частота, ГГц Рис. 4. Частотные характеристики МИС AM502 параметров базовых элементов для орга- Для реализации МИС МШУ широкого Основные параметры МИС МШУ низации требуемого режима работы по применения (проект AM502) использо- постоянному току в каждом каскаде не ваны те же основные принципы и схемо- Наименование параметра AM501 AM502 использовалась схема автосмещения, технические решения, что и для проекта а была организована подача внешнего AM501, за исключением того, что объеди- Диапазон рабочих частот, ГГц 17…18 16…21 отрицательного напряжения смещения нение каскадов проведено посредством через высокоомный резистор. согласующей цепи, на которую частотно- Коэффициент усиления, дБ >22 >21 селективные функции не возлагаются. Для формирования определённой на Коэффициент шума, дБ <1,5 <1,5 системном уровне частотной маски меж- Топология кристалла МИС AM502 пред- ду каскадами усиления сигнала включён ставлена на рисунке 3. Габаритные разме- Выходная мощность P1dB, дБм >4 >4 ППФ 3-го порядка на основе резонато- ры кристалла составляют 1,25×1,8×0,1 мм. ров типа «шпилька». Выбор данного типа Коэффициент отражения от входа, дБ <−12 <−10 резонаторов в составе фильтра позволяет Расчётные частотные зависимости Коэффициент отражения от выхода, дБ <−17 <−10 обеспечить меньшие габариты кристалла коэффициента усиления, коэффициен- МШУ в сравнении с реализацией ППФ на та шума, модулей коэффициентов отра- Напряжение питания, В 1,6 1,6 основе полуволновых резонаторов с боко- жения от входа и выхода, а также инва- вой или торцевой связью. Использование риантного коэффициента устойчивости Ток потребления, мА 23 23 четвертьволновых резонаторов не рас- для МИС AM502 приведены на рисунке 4. сматривалось для исключения влияния процессе предыдущих проектов подхо- переходных отверстий на АЧХ фильтра. Основные параметры обеих МИС ды к проектированию, а также стабиль- МШУ приведены в таблице. ность выбранного технологического Расчётные частотные зависимости процесса позволяют рассчитывать на коэффициента усиления, коэффици- ЗАКЛЮЧЕНИЕ удовлетворительный результат после ента шума, модулей коэффициентов первой итерации изготовления МИС. отражения от входа и выхода, а также Проектирование МИС малошумя- инвариантного коэффициента устой- щих усилителей выполнено в системе ЛИТЕРАТУРА чивости для МИС AM501 приведены на автоматизированного проектирования рисунке 2. NI AWR Design Environment. Качество 1. www.ommic.com библиотек модельных элементов про- 2. www.ums-gaas.com цессов фабрики OMMIC, проверенные в 3. Текшев В.Б. Минимизация изменения коэффициента шума усилителя скани- рующей приёмной активной фазиро- ванной антенной решётки. Электрон- ная техника. Сер. СВЧ-техника. 1994. Вып. 2(462). С. 19–22. НОВОСТИ МИРА САУ ГМК обеспечивает работу газо- САУ ГМК является модификацией оте- мотокомпрессора в автоматическом ре- чественной САУ ГПА «НЕМАН-Р-20» НОВАЯ CАУ ГМК НА БАЗЕ жиме, в том числе управление зажига- (ПТК «Неман-Р»), прошедшей приёмоч- КОНТРОЛЛЕРА FASTWEL I/O нием и топливное регулирование. Кон- ные испытания ПАО «Газпром» в 2016 году. троль работы агрегата осуществляется Линейка продукции ООО «Фирма «Кали- персоналом из диспетчерского пункта Автоматика ГМК построена с применени- нинградгазприборавтоматика», дочернего и операторской. Кроме того, система ем отечественных модульных вычислителей предприятия ПАО «Газпром автоматиза- оснащена местным постом управления Fastwel и распределённой системы ввода- ция», пополнилась системой автоматиче- во взрывобезопасном исполнении, раз- вывода Fastwel I/O, предназначенных для ского управления газомотокомпрессором мещённом непосредственно в машин- работы в тяжёлых условиях эксплуатации. (САУ ГМК). Головные образцы систем при- ном зале. менены на установке искусственного холо- Пресс-служба да Вуктыльского газопромыслового управ- ПАО «Газпром автоматизация» ления ООО «Газпром добыча Краснодар». СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 3 2018 WWW.SOEL.RU 65
ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ НОВОСТИ МИРА должны сделать шаг вперёд и обустроить ин- «РОСТЕЛЕКОМ» ПРЕДСТАВИЛ фраструктуру технологии интеллекта, соору- ИНТЕЛЛЕКТ – БУДУЩЕЕ ИТ жённую на уже имеющемся ИТ-фундаменте. ПЕРВУЮ РАБОЧУЮ ВЕРСИЮ Это подразумевает масштабную интеграцию В 2003 г. писатель Ник Карр провозгласил, автоматизации и прогнозирования на базе ЕДИНОЙ БИОМЕТРИЧЕСКОЙ что ИТ стали общедоступным потребительским ИИ во всех подразделениях предприятия. продуктом (наподобие электроснабжения или СИСТЕМЫ канцелярских принадлежностей), который сам Чтобы это произошло, необходимо осу- «Ростелеком» представил первую рабочую по себе уже не даёт компаниям никаких стра- ществить три шага: тегических преимуществ. За прошедшее с тех версию Единой биометрической системы – пор время облачные вычисления свели для 1. Разобраться в деталях работы бизнеса цифровой платформы, разработанной «Рос- бизнеса на нет всю оставшуюся стратегиче- и автоматизировать максимум возможного. телекомом» по инициативе Министерства скую ценность традиционных ИТ-организаций. связи и массовых коммуникаций и Централь- 2. Использовать ИИ для сбора и интер- ного банка РФ. Первая рабочая версия систе- Все барьеры для доступа практически претации данных, позволяющих выяснить, мы имеет все основные компоненты, позво- исчезли. Важнейшие функции бизнеса се- что и почему не работает. ляющие реализовать базовый функционал по годня обслуживаются бесплатными или не- распознаванию личности, и готова к интегра- дорогими, несложными для использования 3. Исходя из анализа, спрогнозировать, что ции с информационными системами банков. инструментами. Конкуренты имеют равный и как можно улучшить, а затем автоматизи- доступ к совершенно одинаковым техноло- ровать этот процесс для постоянного совер- Единая биометрическая система исполь- гиям и черпают кадры из общего пула спо- шенствования систем машинного обучения. зует для идентификации два параметра – го- собных ИТ-специалистов, которые всё ча- лосовой профиль и фотоизображение, что ще нанимаются со стороны. ИИ – последний бастион в достижении под- позволяет значительно увеличить точность линной эффективности. Это самый быстрый распознавания живого человека. В системе В облачную эру стоимость перехода на и лёгкий путь покончить с рутинным трудом используются решения ряда вендоров биоме- новые инструменты определяется в основ- и высвободить силы для решения стратеги- трического оборудования для каждого пара- ном культурными, а не финансовыми фак- ческих задач, исследований и разработок. метра идентификации. Открытая архитектура торами. Вряд ли возможно одолеть конку- платформы позволяет быстро интегрировать рентов, только закупив лучшие канцтова- Внедрение новой инфраструктуры для кон- решения новых вендоров и использовать оп- ры. То, за что сейчас идёт борьба, – это курентной перестройки бизнеса под техноло- тимальные алгоритмы распознавания. скорость. Чтобы победить, компании нуж- гию интеллекта, как всегда в таких случаях, но добиться радикального выигрыша в эф- круто изменит повседневную работу организа- Защитные механизмы системы предусма- фективности, не имеющего себе равных с ции. Чтобы добиться успеха, придётся преоб- тривают отказ от идентификации в случае тех пор, как бизнес поставил на первое ме- разовать операции и культуру всей организа- низкого процента совпадения с исходными сто цифровизацию. Такой выигрыш может ции. Речь идёт о новых правилах игры – люди биометрическими данными, которые хранятся дать искусственный интеллект (ИИ). будут работать с машинами, а не на машинах. в Единой биометрической системе. Цифровая платформа размещена в облачной защищён- В то же время внедрение ИИ потребует По этой причине ИТ уже не смогут оста- ной инфраструктуре «Ростелекома», к кото- времени и денег, однако это не будет совер- ваться во владении лишь одного специ- рой банки получат доступ через специаль- шенно новая категория расходов. Все бюд- ального департамента. Любой сотрудник ные каналы связи Системы межведомствен- жетные расходы, относящиеся к ИТ, сегод- на любом уровне организации должен бу- ного электронного взаимодействия (СМЭВ). ня рациональнее переключить на ИИ. В том дет познакомиться с внутренним функцио- смысле, как их понимают в настоящее вре- нированием системы ИИ. Данные пользователя будут передавать- мя, ИТ не исчезнут, но им предстоит стать ся в Единую биометрическую систему по ка- частью более крупной стратегии, интегри- Службы по работе с клиентами и марке- налам связи, защищённым с использовани- рованной в интеллектуальную систему. Тех- тинговые группы должны взаимодейство- ем отечественных криптоалгоритмов. Для нологии интеллекта при этом могут сыграть вать с ИИ не меньше, если не больше, чем решения этой задачи «Ростелеком» разра- спасительную роль для возрождения стра- разработчики. Те работники, которые на- батывает специальное мобильное приложе- тегических функций ИТ. прямую будут иметь дело с ИИ, первыми ние со встроенными средствами криптогра- почувствуют его эффективность. фической защиты информации. На сегодняшний день у компаний имеет- ся отличная возможность перекрыть брешь Конечным результатом станет освобож- Запуск Единой биометрической систе- между амбициозными идеями ИИ и реаль- дение организаций от ненужной повторяю- мы в коммерческую эксплуатацию назна- ной практикой. Согласно недавнему отчёту щейся работы. Как в своё время ИТ пре- чен на 1 июля 2018 года. На сегодняшний Boston Consulting Group и MIT Sloan, только образовывали внутренние коммуникации и день уже более 20 российских банков при- примерно одна из пяти компаний включила ручной труд, способствуя внедрению техно- ступили к тестированию системы. Полный ИИ в некоторые предложения или процес- логий и стратегических решений на базе ин- перечень банков, которые будут использо- сы. Большинство опрошенных должностных формации, так и ИИ будет трансформиро- вать Единую биометрическую систему с мо- лиц (60%) считают, что стратегия ИИ являет- вать рабочие места, бизнес, а также и ИТ в мента её запуска в середине 2018 года, бу- ся срочной задачей для их организаций, но том виде, в котором они известны сейчас. дет сформирован Центральным банком РФ. реально ею занимаются только 50%. В результате откроется больше возможно- стей для стратегических подходов, иссле- В дальнейшем Единая биометрическая си- ИИ – действенный драйвер продуктивности, дований и разработок – тех видов работы, стема сможет применяться в различных от- который может собирать, интерпретировать и с которыми лучше всего справляются лю- раслях: финансовом секторе, здравоохра- использовать данные в масштабах, выходя- ди. Чем быстрее компании осознают необ- нении, образовании, ритейле, e-commerce, щих за пределы человеческих возможностей. ходимость этого сдвига, тем больше у них для получения государственных и муници- будет преимуществ, поскольку ИИ вырас- пальных услуг. Чтобы в ближайшем будущем сохранить тает из нынешних ИТ. свою конкурентоспособность, компании Пресс-служба компании www.itweek.ru «Ростелеком» 66 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 3 2018
Реклама
ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ Верификация VHDL-описаний цифровых устройств, представленных в виде композиции управляющего и операционного блоков Часть 2. Верификация на основе функционального покрытия Николай Авдеев, Пётр Бибило ([email protected]) конечного автомата и подсчитать чис- ло прохождений ориентированных дуг Во второй части статьи рассматривается функциональная верификация в графе переходов автомата fsm. Чтобы цифровых устройств, состоящих из управляющего и операционного выполнить покрытие VHDL-кода, нуж- блоков. Под такой верификацией понимается проверка выполнения но провести компиляцию модуля fsm всех переходов между внутренними состояниями, имеющихся в VHDL- с установленными опциями (вызвать модели управляющего блока, а для операционного блока – проверка окно Compile Properties, в открывшем- использования значений входных операндов этого блока. ся окне установить флаг Enable Finite State Machine Coverage и другие флаги ВВЕДЕНИЕ ми, как синхронных, так и асинхрон- для выполнения покрытия VHDL-кода), ных. Под функциональной верифика- после чего компиляция выполняется В настоящее время возрастание слож- цией операционного блока понимается стандартным образом. Перед выполне- ности проектируемых систем, реализу- проверка использования при модели- нием моделирования во вкладке Start емых на программируемых логических ровании некоторых либо всех значе- Simulation → Others нужно установить схемах типа FPGA и системах на кри- ний каждого из операндов этого блока флаг Enable Code Coverage, выполнить сталле, на передний план при проек- для каждого из состояний управляюще- моделирование, например командой тировании выдвигает проблемы вери- го блока. В статье будет использовать- Run all, и получить временну′ю диа- фикации, понимаемой как проверка ся тот же пример цифрового устрой- грамму. Тестирующая программа для соответствия высокоуровневых VHDL- ства system, VHDL-описание которого моделирования устройства system моделей цифровых систем специфика- приведено в [4]. приведена в листинге 1. Результаты её циям на их разработку. Такая верифи- выполнения будут анализироваться кация реализуется на основе модели- ФУНКЦИОНАЛЬНАЯ как для покрытия VHDL-кода управ- рования и требует создания сложных ляющего автомата fsm, так и при ана- тестирующих программ, различных ВЕРИФИКАЦИЯ КОНЕЧНЫХ лизе результатов функционального по назначению тестов и соответству- АВТОМАТОВ НА ОСНОВЕ покрытия устройства system. Просмотр ющего управления тестированием [1]. покрытия VHDL-кода автомата в гра- Одним из направлений такой верифи- ПОКРЫТИЯ VHDL-КОДА фическом виде может быть выполнен кации является функциональная вери- несколькими способами. Первый спо- фикация, базирующаяся на генера- Большим достоинством системы соб состоит в нажатии левой клавишей ции псевдослучайных тестовых набо- моделирования Questa Sim является то, мыши на сигнале st, который помечен ров и функциональном покрытии. Для что модель FSM (Finite State Machine) на временно′й диаграмме (окно Wave) функциональной верификации VHDL- конечного автомата может быть вери- особым образом . Именно внутрен- моделей цифровых систем предложена фицирована, если она написана по ний сигнал st (это и есть сигнал, зада- соответствующая методология, назы- определённому шаблону: автомат дол- ющий перечислимый тип внутренне- ваемая OS-VVM (Open Source VHDL жен иметь конечное число внутренних го алфавита конечного автомата fsm) Verification Methodology) [2], некото- состояний, должны быть переменные ассоциируется с автоматом. Нажав на рые аспекты которой описаны в [3]. текущего и следующего состояний, сигнал st, можно увидеть граф перехо- смена которых должна проходить по дов состояний автомата и соответству- Данная статья является продолжени- синхросигналу, при этом следующее ющую статистику переходов на выпол- ем статьи [4], в которой рассмотрена состояние должно зависеть от текуще- ненном тесте (см. рис. 1). методика верификации VHDL-моделей го. Именно в таком виде записан конеч- цифровых устройств с использовани- ный автомат fsm, являющийся управля- В окне можно переходить из состо- ем покрытия VHDL-кода, выполняе- ющим блоком цифрового устройства яния в состояние (вперёд либо назад), мая в системе Questa Sim [3]. Для циф- system [4]. нажимая кнопку переднего и заднего ровых устройств, состоящих из управ- фронтов, перед этим установив требуе- ляющего и операционного блоков, Средства (опции моделирования) с мый отсчёт времени. Например, можно под функциональной верификацией покрытием кода позволяют при ком- установить нулевое время и провести будет пониматься проверка выполне- пиляции VHDL-модели и её модели- моделирование согласно тесту, наблю- ния всех имеющихся в VHDL-модели ровании распознать в составе моде- дая в графическом виде за перехода- управляющего блока (автомата) пере- ли устройства конечный автомат fsm, ми между состояниями. Жёлтым цве- ходов между внутренними состояния- входящий в состав проекта, отследить (учесть) все пройденные (в конкрет- ном сеансе моделирования) состояния 68 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 3 2018
ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ Листинг 1 Листинг 1 (продолжение) 1 library IEEE; 44 RndA.InitSeed(RndA'instance_name); 2 use IEEE.std_logic_1164.all; 45 RndB.InitSeed(RndB'instance_name); 3 use ieee.numeric_std.all; 46 RndRst.InitSeed(RndRst'instance_name); 4 use work.system_pkg.all; 47 end randomize_init; 5 library osvvm; 48 begin 6 use osvvm.RandomPkg.all; 49 dut : system 7 use osvvm.CoveragePkg.all; 50 port map (x, clk, rst(0), a, b, z); 8 entity system_tb is 51 st_cur <= 9 end; 52 <<signal .system_tb.dut.m1.state : st_t>>; 10 architecture cov_tb of system_tb is 53 clk <= 11 component system 54 '0' when not start_sim else 12 port ( 55 not clk after 10 ns; 13 x : in std_logic_vector(1 to 4); 56 WaveGen_Proc : process 14 clk, rst : in std_logic; 57 begin 15 a, b: in std_logic_vector(3 downto 0); 58 coverage_model_init; 16 : out std_logic_vector(7 downto 0)); 59 randomize_init; 17 end component; 60 rst(0) <= '1'; 18 signal x : std_logic_vector(1 to 4); 61 start_sim <= true; 19 signal a, b: std_logic_vector(3 downto 0); 62 X <= RndX.RandSlv(X'length); 20 signal z : std_logic_vector(7 downto 0); 63 A <= RndA.Randslv(A'length); 21 signal rst : std_logic_vector(0 downto 0); 64 B <= RndB.Randslv(B'length); 22 signal st_cur : st_t; 65 wait for 11 ns; 23 signal clk : std_logic := '1'; 66 rst(0) <= '0'; 24 signal start_sim : boolean := false; 67 for i in 0 to 10000 loop 25 shared variable RndX : RandomPType; 68 wait until clk'event and clk = '0'; 26 shared variable RndA : RandomPType; 69 CovCrossSAB.icover( 27 shared variable RndB : RandomPType; 70 (st_t'POS(st_cur), 28 shared variable RndRst : RandomPType; 71 to_integer(unsigned(A)), 29 shared variable CovCrossSAB : CovPType; 72 to_integer(unsigned(B)) ) ); 30 procedure coverage_model_init is 73 X <= RndX.RandSlv(X'length); 31 begin 74 A <= RndA.Randslv(A'length); 32 -- инициализации модели покрытия 75 B <= RndB.Randslv(B'length); 33 CovCrossSAB.SetName(\"State/A/B cross coverage\"); 76 rst <= RndRst.DistValSlv( 34 CovCrossSAB.AddCross( 77 ((0,100), (1,1)), 1); 35 GenBin(st_t'POS(s1), st_t'POS(s6), 6), 78 if CovCrossSAB.IsCovered then 36 GenBin(0) & GenBin(1, 14, 3) & GenBin(15), 79 exit; 37 GenBin(0) & GenBin(1, 14, 3) & GenBin(15)); 80 end if; 38 CovCrossSAB.AddCross(ALL_ILLEGAL, 81 end loop; -- i 39 ALL_ILLEGAL, ALL_ILLEGAL); 82 CovCrossSAB.WriteBin; 40 end coverage_model_init; 83 CovCrossSAB.WriteCovHoles(100.0); 41 procedure randomize_init is 84 start_sim <= false; 42 begin 85 wait; 43 RndX.InitSeed(RndX'instance_name); 86 end process WaveGen_Proc; 87 end cov_tb; том подсвечивается текущее состоя- (см. рис. 2). После двойного щелчка синхронные, так и асинхронные пере- ние, зелёным – следующее состояние. левой клавишей мыши на отмеченной ходы между состояниями автомата. Не участвующие в данном переходе строке появится граф автомата. вершины имеют синий цвет. Красные Если требуется по VHDL-модели авто- дуги отмечают непокрытые переходы, Можно на отмеченной строке мата получить список всех переходов, цифры на дугах соответствуют числу (см. рис. 2) нажать правую клавишу то в окне Transcript компиляция моде- покрытий (прохождений) этих дуг мыши, после чего выбрать View FSM ли устройства выполняется по коман- при моделировании. Эти числа попа- (см. рис. 3) – в этом случае также мож- де vcom -fsmverbose t D:/system/fsm.vhd. дают также в текстовые и HTML-отчёты но увидеть граф автомата (рис. 1). Если же требуется получить циклы на о покрытии автомата. Заметим, что в графе переходов автомата, то необхо- данном примере все дуги (и состояния) Из рисунка 1 ясно, что переход s1 → s2 димо добавить опцию -fsmmultitrans в оказались пройденными, причём мно- был покрыт 2374 раза: этой цифрой командную строку вызова компилято- гократно. помечена соответствующая дуга на ра vcom -fsmverbose t -fsmmultitrans D:/ графе (см. рис. 1). Результат покрытия system/fsm.vhd. Второй способ визуализации гра- автомата на заданном тесте можно фа состояний автомата – последова- сохранить в текстовом виде, как показа- Получающиеся текстовые отчёты тельное открытие окон View → FSM List но в листинге 2. На графе и в текстовом могут быть обработаны программно отчёте (см. листинг 2) указываются как для составления тестов и проверки Рис. 2. Окно FSM List Рис. 1. Покрытие переходов и состояний автомата fsm Рис. 3. Выбор View FSM для отображения графа переходов автомата 69 СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 3 2018 WWW.SOEL.RU
ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ Листинг 2 Covered Transitions : --------------------- Line Trans_ID Hit_count Transition ---------- ---- -------- --------- s1 -> s2 16 0 2374 s1 -> s1 s2 -> s3 48 1 114 s2 -> s4 s2 -> s5 20 2 883 s2 -> s1 s5 -> s1 23 3 303 s5 -> s4 s4 -> s1 25 4 1174 s4 -> s6 s3 -> s4 48 5 14 s3 -> s1 s6 -> s1 36 6 304 39 7 869 30 8 1037 32 9 1006 27 10 871 48 11 12 42 12 1006 Summary Active Hits Misses % Covered Рис. 4. Число переходов между состояниями с заданным ------- ------ ---- ------ --------- распределением генератора тестовых наборов States 66 0 100.0 Transitions 13 13 0 100.0 выполнения при моделировании всех чения 10…14; корзина 5 – значение 15. 1/101 (строки 76–77). Следует отметить, переходов и требуемых циклов в гра- Таким образом, число 6×5×5=150 вход- что одноразрядный сигнал rst объявлен фах управляющих автоматов. ных тестовых векторов сократится на как вектор (std_logic_vector(0 downto 0)) порядок. Подробное описание кор- единичной длины (строка 21) – это свя- ВЕРИФИКАЦИЯ НА ОСНОВЕ зин, перекрёстных корзин, типов дан- зано с тем, что метод DistValSlv (как и ных и процедур, используемых в тести- метод RandSlv) возвращает значение ФУНКЦИОНАЛЬНОГО ПОКРЫТИЯ рующей программе, дано в [4]. В стро- типа std_logic_vector. Тестирующая программа (см. лис- ках 5–7 подключаются VHDL-пакеты RandomPkg, CoveragePkg из библиоте- В связи с тем что входные сигналы тинг 1) составлена так, чтобы выпол- ки osvvm, позволяющие использовать генерируются псевдослучайным обра- нить функциональное покрытие моде- методологию верификации OS-VVM. зом, необходим механизм, позволяю- ли устройства, а именно для каждого из Генерация входных векторов для вход- щий отследить, какие состояния s1…s6 шести состояний s1…s6 управляющего ных сигналов x, a, b, rst тестируемого и какие значения сигналов a, b появи- автомата требуется выполнение соот- устройства выполняется с помощью лись (отработали) на входе операци- ветствующей операции в операцион- псевдослучайного генератора – для онного блока. Для этого используется ном блоке над парой значений операн- этого используются четыре перемен- переменная CovCrossSAB типа CovPType дов a, b. Каждый из операндов может ных (RndX, RndA, RndB, RndRst) типа (строка 29). В строках 33–39 произво- принимать значения от 0 до 15, в этом RandomPType (строки 25–28 в листин- дится настройка модели покрытия в случае получается 6×16×16=1536 всех ге 1). Настройка начального значе- соответствии с требованиями, кото- возможных состояний на входах опе- ния псевдослучайного генератора для рые были указаны выше: 6 корзин для рационного блока. Если же размерно- каждой переменной осуществляет- состояний автомата и по 5 корзин для сти операндов a, b большие, например ся с помощью метода InitSeed (строки сигналов a, b. Сбор отработанных зна- число разрядов векторов a, b равно 32, 43–46). Генерация псевдослучайных чений переменных st_cur, a, b прово- то перебор всех возможных состояний значений сигналов x, a, b осуществля- дится с помощью метода icover (стро- операционного блока является трудо- ется с помощью метода RandSlv (строки ки 69–72). ёмкой вычислительной процедурой. 62–64, 73–75). Для сигнала rst не подхо- дит обычный генератор с равномерным Ограничим выполнение тестиру- Для подтверждения работоспособно- распределением вероятностей выпа- ющей программы генерацией 10 000 сти операционного блока будет избы- дения значений, для него необходи- входных псевдослучайных векторов. точным подавать на вход 1536 тестовых мо, чтобы значение 1 выпадало зна- Чтобы отследить момент, когда все векторов, поэтому предлагается способ чительно реже, чем значение 0. Чтобы требуемые диапазоны переменных сокращения числа входных векторов. задать разную вероятность выпадения будут покрыты, используется метод Для этого значения каждого из опе- значений 0 и 1, используется метод IsCovered, который возвращает значе- рандов a, b распределим по подмноже- DistValSlv( ((0, 100), (1, 1)), 1) – в этом ние true, если все корзины покрыты. ствам (корзинам). Корзины для каждо- случае вероятность выпадения 0 равна В строках 78–80 осуществляется данная го из операндов a, b заданы следующим 100/101, а вероятность выпадения 1 – проверка и происходит выход из цик- образом: корзина 1 – значение 0; корзи- ла генерации тестовых векторов, если на 2 – значения из диапазона 1…4; кор- все корзины покрыты. Далее осущест- зина 3 – значения 5…9; корзина 4 – зна- 70 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 3 2018
ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ вляется вывод результатов покрытия. отчёта о функциональном покрытии автомата, заменяя тем самым имеющи- Метод WriteBin выводит в консоль ста- указывается, что в состоянии s1 опера- еся возможности системы моделиро- тистику по всем корзинам для перемен- ция and над операндами a, b [4] выпол- вания. Как показано в [3], генерация ной CovCrossSAB. Метод WriteCovHoles нялась 13 раз для нулевых значений тестирующих наборов (входных опе- выводит список непокрытых корзин операндов, 41 раз при нулевом значе- рандов операционного блока и вход- при их наличии. нии операнда a значение операнда b ных сигналов управляющего блока) попадало в корзину 2 (диапазон зна- может осуществляться по различным Выполним тестирующую программу чений 1…4) и т.д. При равномерном законам распределения: равномерный (см. листинг 1), указав, что для целей распределении вероятностей генера- закон распределения, распределение с функционального покрытия будет ции операндов a, b требуется длинная преобладанием малых значений, рас- использоваться не более 10 000 слу- последовательность из 9968 тестовых пределение с преобладанием больших чайно генерируемых тестовых набо- наборов. Это происходит из-за того, значений, нормальный закон распреде- ров. После выполнения моделирова- что при равномерном распределении ления, распределение Пуассона. ния видно (см. рис. 1), что автомат fsm вероятности значения 0 и 15 выпада- проходил различные циклы по гра- ют в разы реже, чем значения из диапа- ЗАКЛЮЧЕНИЕ фу переходов: состояние s1 автомат зонов (корзин), состоящих из четырёх прошел 2488 раз, состояние s2 – 2374 либо пяти значений. Поэтому целесо- Как показывает практика верифика- раз и т.д. Всего пройдено состояний образно использовать метод DistValSlv ции, моделирование с покрытием кода 2488+2374+883+2043+1174+1006=9968, генерации псевдослучайных чисел с и функциональная верификация позво- что меньше 10 000. Это связано с тем, заданным распределением вероятно- ляют найти подавляющее число оши- что произошел дополнительный выход стей: бок в моделях цифровых устройств, (строка 79) из цикла, так как выполни- состоящих из управляющего и опе- лось условие if CovCrossSAB.IsCovered A <= RndA.DistValSlv( рационного блоков, что значительно then в строке 78, заключающееся в том, сокращает общее время верификации что все корзины оказались покрытыми. ((0,5), сложных систем, в состав которых вхо- В результате моделирования выясни- дят такие устройства. лось, что выполнено требуемое функ- (1,1),(2,1),(3,1),(4,1), циональное покрытие VHDL-модели ЛИТЕРАТУРА устройства system. Когда моделиро- (5,1),(6,1),(7,1),(8,1),(9,1), вание закончилось, в окне Transcript 1. Чэнь М., Цинь К., Ку Х.-М., Мишра П. Вали- системы Questa Sim появилась инфор- (10,1),(11,1),(12,1),(13,1),(14,1), дация на системном уровне. Высоко- мация о том, как заполнены все пере- уровневое моделирование и управле- крёстные корзины. 15,5)), A’length); ние тестированием. – М.: Техносфера, 2014. – 296 с. Например, для состояния s1 во фраг- B <= RndB.DistValSlv( менте 2. Open source VHDL verification ((0,5), methodology. User’s Guide Rev. 2016.11: # %% WriteBin: State/A/B cross coverage http://osvvm.org/downloads. (1,1),(2,1),(3,1),(4,1), # %% Bin:(0) (0) (0) Count = 13 3. Бибило П.Н., Авдеев Н.А. Моделирование и (5,1),(6,1),(7,1),(8,1),(9,1), верификация цифровых систем на языке AtLeast = 1 Weight = 1 VHDL. – М.: Ленанд, 2017. – 344 с. (10,1),(11,1),(12,1),(13,1),(14,1), # %% Bin:(0) (0) (1 to 4) Count = 41 4. Авдеев Н., Бибило П. Верификация VHDL- (15,5)), B’length); описаний цифровых устройств, пред- AtLeast = 1 Weight = 1 ставленных в виде композиции управ- В этом случае, если заменить строки ляющего и операционного блоков. # %% Bin:(0) (0) (5 to 9) Count = 41 74–75 данными операторами, общее Часть 1. Верификация на основе покры- число тестовых векторов, необходи- тия VHDL-кода. Современная электрони- AtLeast = 1 Weight = 1 мых для покрытия заданных корзин, ка. 2018. № 2. сокращается на порядок (см. рис. 4) и # %% Bin:(0) (0) (10 to 14) Count = 48 моделирование заканчивается значи- тельно быстрее. AtLeast = 1 Weight = 1 Естественно, можно подготовить # %% Bin:(0) (0) (15) Count = 7 тестирующую программу для функцио- нального покрытия только операцион- AtLeast = 1 Weight = 1 ного блока либо только управляющего СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 3 2018 WWW.SOEL.RU 71
ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ Altium Designer 18.0: обзор новых возможностей Егор Чириков ([email protected]) кнопка означает, что объект данного типа можно выделить. Все невыбранные В декабре 2017 года компания Altium объявила о выходе новой версии типы объектов остаются видимыми, но своего флагманского продукта Altium Designer. Все изменения, которые их нельзя переместить или изменить. вошли в Altium Designer 18.0, можно разделить на три основные категории: новый пользовательский интерфейс, новые инструменты В верхней правой части панели и увеличение производительности. В статье рассмотрены наиболее Properties редактора схем находятся кноп- значимые нововведения, которые стали доступны пользователям, ки , которые определяют область дей- имеющим активную подписку на Altium Designer. ствия фильтра выбора схемы. Они позво- ляют настроить фильтр объектов для НОВЫЙ ПОЛЬЗОВАТЕЛЬСКИЙ же отображаются настройки докумен- текущего документа или для всех откры- та и фильтр выделений Selection Filter. тых документов одного проекта. ИНТЕРФЕЙС В новой версии были проведены Раньше в Altium Designer редакти- После применения фильтра можно рование множества объектов выпол- выбрать интересующие объекты при- большие изменения интерфейса, что- нялось с помощью панели Inspector. вычными способами, такими как выде- бы сделать работу конструктора более С помощью неё можно, например, изме- ление рамкой или с помощью клави- эффективной и комфортной. Для повы- нить размер 200 отверстий, высоту всех ши S, которая открывает меню выбора, шения эффективности рабочего про- строк позиционных обозначений или где можно применить команды All (все странства общая цветовая схема Altium цвет всех проводников на схеме. Одним объекты, Ctrl+A) или, в редакторе плат, Designer была изменена на тёмную действием можно изменить не только All On Layer (все на слое). (см. рис. 1). Кроме того, появилось мно- несколько одинаковых объектов, но и го новых панелей и функций, основная общие свойства для различных объек- Панель Projects задача которых – сделать интерфейс тов. Чтобы изменить множество объек- Изменения коснулись и существую- ещё более интуитивно понятным и уве- тов, их необходимо сначала выделить. личить скорость работы за счёт умень- Сложность состоит в том, что требуется щих панелей. Панель Projects теперь шения количества различных манипу- выделить лишь необходимые объекты. включает в себя многие функции, ана- ляций при проектировании. Altium Designer 18.0 упрощает эту зада- логичные функциям панели Navigator. чу с помощью нового фильтра выбора После компиляции проекта в панели Панель Properties Selection Filter (см. рис. 1). Этот фильтр Projects (см. рис. 2) появляются папки К некоторым из таких улучшений отображается, когда в рабочем про- с компонентами (Components) и цепями странстве ничего не выбрано. Синяя (Nets), а новая функция Search позволяет можно отнести новую панель свойств быстро найти определённый документ, Properties (см. рис. 1), на которой так- цепь или компонент. Функция работает Рис. 1. Новый пользовательский интерфейс WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 3 2018 72
ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ Рис. 2. Панель Projects Рис. 3. Панель инструментов Active Bar как фильтр по мере ввода текста в поле. дующим выбором команды Variants из инструментов Active Bar. Эта панель Новые элементы управления, распо- контекстного меню. После этого нужно позволяет легко разместить объ- ложенные в верхнем левом углу пане- задать варианты необходимым образом екты в документах схемы, платы, ли Projects, позволяют осуществлять и нажать кнопку OK – в проект будет Draftsman и библиотеки и выпол- выполнение функций одним кликом. добавлена папка Variants. Папка содер- нить трассировку на плате в один жит базовый проект ([No Variations]) и клик, без использования главного Управление вариантами теперь так- все заданные варианты. меню. Набор элементов управления же осуществляется через панель Projects на панели зависит от текущего редак- (панель инструментов Variants удале- Панель инструментов Active Bar тора (см. рис. 3). Панель отобража- на). Так же как раньше, открыть диало- Теперь некоторые часто исполь- ется в верхней части рабочего про- говое окно Variant Management можно странства по центру. Содержимое щелчком правой кнопки мыши по нуж- зуемые команды для размещения и панели Active Bar можно настроить ному проекту в панели Projects с после- трассировки доступны на панели Реклама WWW.SOEL.RU 73 СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 3 2018
ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ В области Object Visibility на вкладке View Options осуществляется настрой- ка стиля отображения и прозрачности объектов платы. На этой же вкладке в области Mask and Dim Settings находятся настройки маскирования, затемнения и подсвечивания, а область Additional Options содержит элементы управления для различных настроек отображения, в том числе Net Color Override – пере- определение цвета цепи. В связи с появлением ряда новых панелей и увеличением функциона- ла старых из Altium Designer 18.0 было удалено много команд основного меню. Главными причинами удаления команд являются перенос команды на рабо- чие панели или редкое использование. Весь список удалённых команд основ- ного меню приведён на официаль- ном сайте компании www.altium.com. Также из интерфейса было исключе- но Меню DXP, а его наиболее часто используемые команды (настройки Altium Designer, управление учётной записью, обновления и расширения) теперь выполняются с помощью эле- ментов управления в верхнем правом углу окна системы. аб НОВЫЕ ИНСТРУМЕНТЫ Рис. 4. Панель View Configuration: а) вкладка Layers & Colors; б) вкладка View Options Многомодульные конструкции Рис. 5. Многомодульная конструкция Multi-Board Multi-Board или, при желании, включить стан- метры видимости, маскирования и Многие устройства включают в себя дартные панели инструментов. настройки отображения 3D (см. рис. 4). несколько взаимосвязанных печат- Панель также упрощает использова- ных плат. Сочетание этих плат внутри Панель View Configuration ние более сложных функций, таких корпуса и обеспечение их правиль- Панель View Configuration позволя- как пары слоёв. Можно быстро вклю- ного подключения друг к другу – это чить или отключить слой, множество сложная фаза процесса разработки. Для ет редактировать отображение слоёв слоёв или все слои с помощью элемен- решения этой задачи в Altium Desig- платы, управлять связанными с ними тов управления в каждой группе слоёв. ner 18.0 добавлена среда проектиро- цветами и настройками, изменять пара- вания многомодульных конструкций под названием Multi-Board (см. рис. 5). Многомодульная конструкция в Altium Designer определяется в проек- те Multi-Board (*.PrjMbd) и входящих в него документах схемы (*.MbsDoc) и сборки (*.MbaDoc). Эти типы докумен- тов позволяют добавить существующие проекты плат Altium Designer (*.PrjPcb) в среду проектирования многомодуль- ных конструкций и связать их в еди- ную систему. Задание логической структуры кон- струкции Multi-Board осуществляется в документе схемы Multi-Board. Здесь размещаются графические блоки (модули), представляющие дочерние проекты печатных плат, после чего каждый модуль связывается с соот- ветствующим дочерним проектом и импортируются данные о соедине- 74 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 3 2018
ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ Рис. 6. Задание параметра для соединителя ниях. Затем добавляются соединения Рис. 7. Диалоговое окно соединений Connection Manager между модулями. ствует редактор сборок Multi-Board. Проекты плат Altium Designer, кото- Общий процесс проектирования сбор- рые представляют собой дочерние пла- ки Multi-Board включает в себя создание ты системы Multi-Board, содержат спе- документа сборки Multi-Board, переда- циальные соединители, такие как кра- чу данных из схемы Multi-Board, пози- евые и штыревые разъёмы, с помощью ционирование плат и деталей корпуса которых плата электрически и физи- (в формате STEP). чески соединяется с другими платами в конструкции. Трассировка ActiveRoute Рис. 8. Обновлённая панель PCB ActiveRoute Новаторская технология трасси- Эти соединители и связанные с ними ● Автоподстройки длины как для оди- цепи необходимо определить в доку- ровки ActiveRoute представляет собой ночных проводников, так и для диф- менте схемы, чтобы затем определить автоматизированную интерактивную ференциальных пар: соединения между платами на уровне маршрутизацию, которая обеспечи- системы. Сделать это можно с помощью вает эффективную прокладку треков определённого параметра System, задав (проводящих дорожек). Технология ему значение Connector, как показано используется для трассировки кон- на рисунке 6. кретных цепей, выбранных пользова- телем. ActiveRoute позволяет разработ- Связь между проектами плат, кото- чику в интерактивном режиме опреде- рые составляют систему Multi-Board, лить путь маршрута, по направлению задаётся размещением соответствую- которого будут прокладываться тре- щих им блоков (модулей) на схеме и ки. Все возможности ActiveRoute те- соединением их разъёмов с помощью перь доступны на обновлённой панели виртуальных соединений и/или про- PCB ActiveRoute (см. рис. 8). водников. Altium Designer 18.0 вводит ряд улуч- Вся информация о соединениях в шений в существующий функционал проекте Multi-Board собрана в диа- ActiveRoute: логовом окне диспетчера соедине- ● Настраиваемые зазоры: ний Connection Manager. В этом окне находится список всех назначений − Track-Track Space in Route Guide – контактов/цепей, сгруппированных используется для назначения мак- по обозначениям их родительских симального расстояния между вы- соединений и типам (провод, пря- бранными цепями. Данная на- мое соединение и т.д.). Также здесь стройка должна быть определена содержатся их системные ID, назва- до начала создания направляюще- ния цепей и информация о контак- го маршрута, чтобы рассчитать ми- тах (см. рис. 7). нимальную ширину в соответствии с интервалом. Если система обнаружит конфликт соединений, они будут выделены в окне Connection Manager. При клике на такое соединение будут представлены гра- фическое отображение конфликта и опции, которые позволят устранить его. Для проектирования физического представления многомодульной кон- струкции в Altium Designer 18.0 суще- СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 3 2018 WWW.SOEL.RU 75
ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ − Meander % Over Manhattan Length – граммный код был переработан во ● новый модуль визуализации на ба- ActiveRoute пытается проложить многих областях и переписан на зе DirectX 10, позволяющий полно- проводники по наиболее короткому языке C#, который лучше управля- ценно использовать ресурсы графи- из возможных путей. Если процент ет памятью и эффективнее исполь- ческой карты; выполненных соединений меньше зует преимущества 64-битной архи- ожидаемого, можно воспользовать- тектуры и многопоточного испол- ● ускоренный переход от 2D к 3D; ся новой функцией – управлением нения; ● оптимизация использования опера- меандром, которое позволяет уве- ● ускорение загрузки/открытия файлов личить количество меандров, что по сравнению с Altium Designer 17.x; тивной памяти за счёт более широко- может увеличить процент выпол- ● оптимизация процесса внесения из- го задействования ресурсов графи- ненных соединений. По умолча- менений в проект и перемещения ческой карты; нию максимальное значение это- элементов на плате; ● более производительный режим 3D; го параметра равно 100%. ● увеличение скорости интерактивной ● более реалистичное отображение мо- трассировки; делей благодаря высококачественно- ● Переназначения выводов Pin Swap: ● использование многопоточности в му сглаживанию, которое отключает- − ActiveRoute теперь имеет доступ к таких областях, как компиляция про- ся в процессе перемещения для луч- настройкам Pin Swapping, что по- ектов, перезаливка полигонов, про- шей производительности; зволяет менять контакты во время верка проектных правил, создание ● улучшенный модуль визуализации маршрутизации, если эта замена выходной документации; CAMtastic, делающий более удоб- уменьшает общую длину маршру- ● значительное сокращение времени ным внесение изменений в Gerber/ та и улучшает его качество. Компо- перезаливки полигонов и динами- ODB++/IPC CAM и позволяющий плав- ненты, в которых настроен свапинг ческой проверки проектных правил но масштабировать. пинов, будут перечислены в обла- благодаря переработанной системе сти Pin Swap. анализа связанности цепей («анализ ЛИТЕРАТУРА земли»); УВЕЛИЧЕНИЕ ● многозадачный выпуск проектов; 1. Печатные платы. Справочник / под ред. ● увеличение скорости создания отчё- К.Ф. Кумбза. – М.: Техносфера, 2011. ПРОИЗВОДИТЕЛЬНОСТИ тов BOM. В Altium Designer 18.0 внесён ряд Более плавную, гладкую и быструю 2. Сабунин А.Е. Altium Designer. Новые работу обеспечивают следующие решения в проектировании электрон- улучшений и оптимизаций для повы- изменения и улучшения графическо- ных устройств. – М.: Солон-Пресс, 2009. шения производительности: го движка: ● 64-разрядная архитектура – систе- 3. Джонсон Г., Грэхем М. Конструирова- ние высокоскоростных цифровых ус- ма теперь имеет доступ к большему тройств. Начальный курс чёрной магии. – объёму оперативной памяти; про- М.: ИД Вильямс, 2006. 4. www.altium.com НОВОСТИ МИРА на американской выставке CES 2018. Мо- ных интерфейсов, позволяющих ему взаи- дульный дизайн новинки позволяет соби- модействовать с сетевыми компьютерами MICROLED рать конфигурации, отличающиеся от ба- и смартфонами/планшетами. И ИНТЕРАКТИВНОСТЬ – В ФОКУСЕ зовых 146″ как в бо′льшую, так и в мень- SAMSUNG DIGITAL SIGNAGE шую сторону. Другая особенность The Wall Samsung Flip снабжён высококачествен- Professional – встроенная платформа управ- ным сенсорным экраном с боковой подсвет- Состоявшаяся в феврале 2018 года в ления контентом Samsung MagicInfo. кой Edge LED, поддерживающим разреше- Амстердаме выставка Integrated Systems ние UHD, угол обзора 178° по вертикали и Europe 2018 продемонстрировала совре- Максимальная яркость устройства состав- горизонтали, яркость 300/220 нит (без стек- менные устремления Samsung на рынке ляет 1600 нит, разрешение – UHD (3840×2160). ла/со стеклом), время отклика 8 мс и цве- Digital Signage: компания интенсивно раз- товой охват 72%. Дисплей распознаёт че- вивается в нескольких направлениях, та- ПО построено по клиент-серверной ар- тыре одновременных касания (применяет- ких как микросветодиоды MicroLED, всё хитектуре. Серверная часть работает под ся специально оптимизированная для Digital более успешно конкурирующие с органи- управлением как серверных, так и клиент- Signage инфракрасная сенсорная панель ческими светодиодами OLED; интерактив- ских версий Windows, тогда как клиент- FlatFrog Advanced IR). ные решения для работы с контентом; LED- ская часть реализуется на базе встроенно- дисплеи для 3D-кинотеатров; интеллекту- го в дисплей однокристального ARM-чипа, Samsung Flip можно использовать в пор- альные UHD-дисплеи и LED-дисплеи для ОС Tizen и медиаплеера MagicInfo Player се- третном и альбомном режимах, а также от- наружной рекламы. рии S (либо внешнего Windows-компьютера клонять на 4,5 градуса в портретном режи- и медиаплеера MagicInfo I). ме. Взаимодействовать с флипчартом можно На выставке были представлены ком- посредством интерфейсов HDMI (вход), USB плексные системы для ритейла, созданные На ISE 2018 состоялась также евро- (два порта), RS-232 (вход), RJ-45 (вход), NFC на базе дисплеев Samsung и программно- пейская премьера Samsung Flip – инстру- и Wi-Fi. аппаратных решений партнёров компании и мента совместной интерактивной рабо- позволяющие персонализировать контент в ты для бизнеса. Устройство представляет Флипчарт оснащен 4-ядерным 1,7 ГГц соответствии с покупательским поведением. собой 55″ электронную маркерную доску ARM-процессором CA72, 8 ГБ памяти (поль- (1302,6×805,5×52,0 мм, масса – 28,9 кг) со зователям доступно 5,35 ГБ) и ОС Tizen 3.0, а Ещё одна новинка Samsung на ISE 2018 – встроенным процессором, ПО на платфор- также сенсорами ускорения и приближения. The Wall Professional. Это усовершенство- ме Tizen и рядом проводных и беспровод- ванная версия MicroLED-экрана The Wall, www.itweek.ru продемонстрированного в январе 2018 года 76 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 3 2018
ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ Интерактивная трассировка в среде Altium Designer Часть 1. Трассировка отдельной цепи Алексей Якубенко ([email protected]) металлизированные отверстия с кру- глыми контактными площадками. Печатные платы, как известно, предназначены для механического и электрического объединения электронных компонентов в некое Линии соединений в среде AD пред- устройство, выполняющее заданную функцию. Электрическое назначены для отображения на ПП соединение компонентов обеспечивается печатными проводниками – нерастрассированных электрических узкими проводящими полосками, выполненными в слоях металлизации. цепей. Они представляют собой тонкие Таким образом, основная задача разработчика печатной платы – линии (по умолчанию серого цвета), формирование печатного рисунка, состоящего из таких проводников. протянутые между контактными пло- Следовательно, основной инструмент разработчика – инструмент щадками, конечными точками прово- по прокладке печатных проводников. В среде Altium Designer такой дников и иными элементами тополо- инструмент носит название Interactive Routing – интерактивная гии сигнальных слоёв (см. рис. 1). трассировка. ИНТЕРАКТИВНАЯ ТРАССИРОВКА Интерактивная трассировка явля- водников, поскольку данный инстру- ется основным механизмом разра- мент является, фактически, базой для ПРОВОДНИКОВ ботки печатных плат (ПП) в среде инструментов интерактивной трасси- В общем случае для прокладки про- Altium Designer (AD), назначение кото- ровки дифференциальных пар и групп рого – прокладка печатных проводни- цепей. водника необходимо осуществить сле- ков. Механизм интерактивной трасси- дующие действия: ровки включает в себя три основных ОБЪЕКТЫ ТРАССИРОВКИ ● Запустить команду Route → Interactive инструмента: ● Interactive Routing – интерактивная Прежде чем коснуться непосред- Routing (горячие клавиши R → T или ственно вопросов трассировки, необ- Ctrl+W) или щёлкнуть левой клави- трассировка проводников отдель- ходимо разобраться, что′ с точки зрения шей мыши (ЛКМ) по расположенной ных цепей; среды AD представляют собой объекты, на панели инструментов пиктограм- ● Interactive Differential Pairs Routing – с которыми имеет дело разработчик в ме – среда AD перейдёт в режим интерактивная трассировка диффе- процессе прокладки цепей. трассировки, а курсор, в зависимо- ренциальных пар; сти от настроек, примет вид большо- ● Interactive Multi-Routing – интерактив- Начать следует с проводников. го или малого перекрестия под углом ная трассировка групп цепей. Основное их назначение – соединение 90° или малого перекрестия под Рассмотрение интерактивной трас- двух или более подключённых к одной углом 45°. сировки следует начать со знакомства цепи контактных площадок посадоч- ● Щёлкнуть ЛКМ в начальной точке с интерактивной трассировкой про- ных мест (ПМ) электронных компо- прокладываемого проводника – в ре- нентов. Проводники состоят из сегмен- зультате среда AD перейдёт в режим тов. Сегменты могут быть как прямоли- прокладки проводника. нейными, так и дуговыми. Технически ● Проложить проводник серией ширина проводников в среде AD ничем щелчков ЛКМ в его узловых точках не ограничена. (см. рис. 2). ● Закончить трассировку. Переходные отверстия (ПО) пред- Четвёртый пункт вышеописанного назначены для перевода проводника алгоритма преднамеренно оставлен без со слоя на слой и представляют собой пояснений, так как закончить трасси- ровку цепи можно одним из несколь- Рис. 1. Линии соединений Рис. 2. Пример прокладки проводника СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 3 2018 WWW.SOEL.RU 78
ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ 45° 45° с дугой 90° 90° с дугой Свободный угол сопряжения сопряжения Рис. 3. Окно Choose Width Рис. 4. Режимы формирования угла ких способов. Первый способ – щёл- откроется окно Choose Width (см. рис. 3), Рис. 5. Режим Look-Ahead кнуть в любом месте правой клавишей в котором отображается преднастроен- мыши (ПКМ) или нажать клавишу ESC. ный набор значений ширины. В дан- кальный отрезок с горизонтальным Прокладка проводника прекратится, а ном окне необходимо выбрать стро- или вертикальный отрезок с отрезком среда AD выйдет из режима проклад- ку с требуемой шириной проводника под углом. Клавиша Backspace удаляет ки проводника, но останется в режи- и щёлкнуть по ней ЛКМ – окно автома- последний сегмент. В режимах форми- ме трассировки – после этого можно тически закроется, а прокладка прово- рования угла с дугой радиус дуги можно приступить к прокладке другого про- дника продолжится с выбранной шири- менять с помощью клавиш «<» и «>» или водника. ной, при этом ширина уже проложен- с помощью сочетаний клавиш Shift+< и ной части останется без изменений. Shift+>. Во втором случае радиус дуги Суть второго способа заключается в будет меняться с бо′льшим шагом. возможности автоматического завер- Если в процессе трассировки необ- шения прокладки проводника. Для ходимо перевести проводник на дру- Во всех режимах формирования угла, этого необходимо зажать на клавиа- гой слой, то в режиме прокладки про- кроме режима свободного угла, пери- туре клавишу Ctrl и щёлкнуть ЛКМ – в водника следует переключиться на тот одически возникает ситуация, ког- результате среда AD сама «доведёт» про- слой, где трасса должна быть продолже- да нужно, чтобы после нажатия ЛКМ водник до ближайшей конечной точ- на. Для этого предназначены горячие сформировался только первый сег- ки, на которую указывает линия соеди- клавиши «+», «−» или «*». В месте перехо- мент или первый сегмент с дугой, но нения, и выйдет из режима прокладки да на другой слой автоматически поя- при этом необходимо предвидеть, как проводника, но также останется в режи- вится ПО. «ляжет» последний. Для таких случаев ме трассировки. предусмотрен режим Look-Ahead. Чтобы В режиме прокладки проводника его включить или выключить, необхо- Суть третьего способа заключается в каждый щелчок ЛКМ, начиная со вто- димо нажать клавишу «1» на клавиату- том, что если в настройках интерактив- рого, в зависимости от режима фор- ре. На рисунке 5 продемонстрирована ной трассировки (будет рассмотрена мирования угла приводит к проклад- работа данного режима: после очеред- далее) поставлена галочка Automatically ке одновременно одного, двух или трёх ного щелчка ЛКМ сформируется толь- Terminate Routing, то при щелчке ЛКМ в сегментов. Существует пять режимов ко заштрихованный сегмент; сегмент, режиме прокладки проводника по кон- формирования угла (см. рис. 4): который предполагается, но не будет тактной площадке, сегменту проложен- 1. 45° – формируется сразу два отрез- сформирован, отображён на рисунке ного проводника или иному элементу контурной линией. топологии, подключённому к текущей ка под углом 45°. цепи, прокладка текущего проводника 2. 45° с дугой сопряжения – формиру- НАСТРОЙКИ ИНТЕРАКТИВНОЙ будет завершена на выбранном элемен- те, а среда AD также выйдет из режима ется сразу два отрезка под углом 45° с ТРАССИРОВКИ прокладки проводника, но останется в дугой сопряжения между ними. Интерактивная трассировка – весь- режиме трассировки. 3. 90° – формируется сразу два отрез- ка под углом 90°. ма гибкий инструмент, имеющий, как Как видно, во всех трёх случаях при 4. 90° с дугой сопряжения – формиру- следствие, большое количество настра- завершении трассировки текущей цепи ется сразу два отрезка под углом 90° иваемых параметров. Её настройки среда AD остаётся в режиме трассиров- с дугой сопряжения между ними. ки. Чтобы выйти из него, необходи- 5. Cвободный угол – формируется один мо также совершить щелчок ПКМ или отрезок под любым углом. нажать клавишу ESC. Переключение между режимами про- исходит последовательно при нажатии Для того чтобы в режиме проклад- сочетания клавиш Shift+Пробел. ки проводника изменить его ширину, Во всех режимах, кроме режима сво- можно воспользоваться сочетанием бодного угла, с помощью клавиши Про- горячих клавиш Shift+W – в этом случае бел можно поменять местами верти- СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 3 2018 WWW.SOEL.RU 79
ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ Рис. 6. Вкладка PCB Editor – Interactive Routing Рис. 7. Режим Follow Mouse Trail Рис. 8. Автоматическое удаление петель ● Push Obstacles – режим «расталки- Под областью Routing Conflict Reso- и ни к чему не подключённых ответвлений вания»: при прокладке проводника lution расположена область Interactive проводников существующие проводники и пере- Routing Options, которая содержит ходные отверстия будут отодвигать- настройки непосредственно интерак- доступны в окне Preferences на вклад- ся, чтобы «пропустить» новый прово- тивной трассировки. Включение галоч- ке PCB Editor – Interactive Routing дник; ки Restrict To 90/45 приводит к тому, (см. рис. 6). В верхней левой части что пользователю остаются доступны данной вкладки расположена область ● Walkaround Obstacles – режим оги- только два режима угла: 45° и 90°. Галоч- Routing Conflict Resolution. В данной бания: при прокладке нового про- ка Follow Mouse Trail включает режим, области расположены галочки, каж- водника он будет огибать существу- при котором в случае огибания пре- дая из которых разрешает или запре- ющие препятствия; пятствия предполагаемый проводник щает использование в текущем проек- будет следовать за передвижением кур- те определённого режима разрешения ● Stop At First Obstacle – режим останов- сора (см. рис. 7). конфликтов между элементами топо- ки: если новый проводник достигнет логии: препятствия, то первый просто «ут- Как уже упоминалось ранее, галочка ● Ignore Obstacles – режим игнориро- кнётся» в последний; Automatically Terminate Routing включа- ет режим, при котором прокладка про- вания: проводник может проходить ● Hug And Push Obstacles – режим оги- водника заканчивается, если очеред- напрямую через любые элементы то- бания и расталкивания: новый про- ной щелчок ЛКМ в режиме прокладки пологии; водник будет огибать существующие проводника производится по элемен- препятствия до тех пор, пока для это- ту топологии, подключённому к трас- 80 го будет оставаться место, после че- сируемой цепи. Галочка Automatically го существующие проводники и пе- Remove Loops включает автоматическое реходные отверстия начнут раздви- удаление петель. Когда она включена, гаться; становится доступна галочка Remove Net Antennas, которая включает авто- ● AutoRoute On Current Layer – автотрас- матическое удаление «антенн», т.е. ни сировка на текущем слое: интерак- к чему не подключённых ответвлений тивная трассировка дополняется эле- проводников (см. рис. 8). ментами автотрассировки, что позво- ляет автоматически выбирать между Галочка Allow Via Pushing позволяет режимами «расталкивания» и огиба- включать «расталкивание» переходных ния, чтобы расположить проводни- отверстий. Галочка Display Clearance ки наиболее оптимальным способом; Boundaries включает отображение границ зазоров (см. рис. 9). На случай, ● AutoRoute On Multiple Layers – авто- если ресурсов компьютера недоста- трассировка со сменой слоёв: дан- точно для полноценного отображе- ный режим аналогичен предыдуще- ния зазоров, предусмотрена галочка му с той лишь разницей, что, помимо Reduce Clearance Display Area, которая выбора между режимами «расталки- уменьшает область отображения гра- вания» и огибания, проводник может ниц зазоров. переходить по разным слоям. В нижней части области Routing Ниже области Interactive Routing Options расположена область Routing Conflict Resolution расположено выпа- Gloss Effort. Routing Gloss Effort пред- дающее меню Current Mode, с помощью которого выбирается текущий режим разрешения конфликтов. WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 3 2018
ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ а Рис. 9. Отображение границ зазоров ставляет собой механизм оптимизации б прокладываемого проводника. Данный механизм работает в режиме проклад- Рис. 10. Пример работы механизма Routing Gloss Effort: а) оптимизация отключена; б) включена ки проводника. Он отслеживает поло- сильная оптимизация жение курсора и отвечает за то, чтобы предполагаемая трасса между послед- а ним проложенным сегментом и теку- щим положением курсора имела наибо- б лее короткую длину и наименьшее коли- чество изгибов. При любом изменении Рис. 11. Пример перемещения сегмента: а) функция Preserve Angle When Dragging не активирована; положения курсора данный механизм б) функция Preserve Angle When Dragging активирована пересчитывает и перерисовывает пред- полагаемую трассу проводника. Распо- мещаемым объектом. Если эта галоч- расположения сопряжённых объ- ложенный в области Routing Gloss Effort ка снята, то геометрия перемещаемого ектов; переключатель имеет три положения: объекта останется без изменений, а ● Avoid Obstacles (Snap Grid) – препят- 1. Off – оптимизация отключена. сопряжённые сегменты будут менять ствия избегаются с учётом сетки при- 2. Weak – слабая оптимизация. углы, под которыми они расположе- вязки; 3. Strong – сильная оптимизация. ны. Если галочка Preserve Angle When ● Avoid Obstacle – препятствия избега- Dragging включена, то при перемеще- ются без учёта сетки привязки. На рисунке 10 приведён пример, нии сегмента сопряжённые сегменты Выпадающее меню Component поясняющий, как работает механизм будут оставаться под теми углами, под Pushing определяет способы разреше- Routing Gloss Effort. На рисунке 10а изо- которыми они расположены, и, соот- ния конфликтов между ПМ, когда одно бражена предполагаемая трасса прово- ветственно, будет меняться геометрия из них перемещается: дника с отключённой оптимизацией, перемещаемого объекта. На рисунке 11 ● Ignore – при перемещении одного из на рисунке 10б – с сильной оптимиза- приведены соответствующие примеры. ПМ остальные игнорируются; цией. Как видно, во втором случае пред- ● Avoid – перемещаемое ПМ нельзя раз- полагаемый проводник имеет гораздо Когда галочка Preserve Angle When местить на месте другого даже с ча- меньшее количество изгибов и мень- Dragging включена, становится доступ- стичным пересечением; шую длину. ным расположенный под ней переклю- ● Push – «мешающие» ПМ раздвигаются. чатель, который определяет взаимодей- Под областью Dragging находит- В правой верхней части окна настро- ствие перемещаемого элемента топо- ся область Interactive Routing Width ек Preferences находится область логии с препятствиями: Sources. Расположенные здесь настрой- Dragging. Здесь расположены настрой- ● Ignore Obstacles – препятствия игно- ки определяют, из каких параметров ки, отвечающие за «поведение» переме- щаемых элементов топологии. С помо- рируются в целях сохранения угла щью выпадающего меню Unselected via/ track можно выбрать, каким образом будут перемещаться предварительно не выделенные ПО или сегменты, а с помощью выпадающего меню Selected via/track – предварительно выделенные. В обоих случаях доступны два варианта: 1. Move – перенос с отрывом от сопря- жённых сегментов проводников. 2. Drag – перемещение без отрыва от сопряжённых сегментов (сопряжён- ные сегменты будут «тянуться» за пе- ремещаемым). В случае перемещения без отрыва от сопряжённых сегментов галочка Preserve Angle When Dragging определя- ет, каким именно образом сопряжён- ные сегменты будут «тянуться» за пере- СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 3 2018 WWW.SOEL.RU 81
ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ Рис. 12. Поля Net Information и Properties дник. Для этого предназначены выпа- ● Length – длина проложенного про- панели Properties дающие меню Track Width Mode и Via водника. Size Mode. Первое отвечает за шири- Под полем Net Information распо- Рис. 13. Поля Interactive Routing Options, Rules ну проводников, второе – за разме- и Visualization панели Properties ры контактных площадок ПО. В обо- ложено поле Properties (см. рис. 12), будут выбираться первоначальные их случаях доступны четыре варианта: содержащее опции проводника. Выпа- значения ширины проводников или 3. User Choice – по выбору пользователя. дающее меню Layer позволяет переве- размеры контактных площадок ПО в 4. Rule Minimum – минимальное значе- сти текущий проводник на иной слой. тот момент, когда пользователь начи- Ниже находится выпадающее меню нает прокладывать очередной прово- ние в соответствии с правилом. Via Template, предназначенное для 5. Rule Preferred – предпочитаемое зна- выбора параметров текущего ПО. Ещё 82 ниже расположено схематическое чение в соответствии с правилом. отображение проводника с переход- 6. Rule Maximum – максимальное значе- ным отверстием. Под ним находятся поля ввода Via Hole Size и Via Diameter, ние в соответствии с правилом. предназначенные для корректировки Галочка Pickup From Existing Routes текущих параметров ПО. С помощью отвечает за то, чтобы при проклад- поля Width можно изменить ширину ке нового проводника от цепи, кото- проводника. Под этим полем распо- рая уже содержит разведённую часть, ложен бегунок Min/Preferred/Max, с ширина нового проводника была рав- помощью которого в соответствии на ширине существующего. с правилом Routing → Width можно Под областью Interactive Routing Width выбрать минимальную, предпочи- Sources расположена область Favorites, таемую или максимальную ширину которая содержит кнопку Favorite проводника (правила будут рассмо- Interactive Routing Widths. Нажатие трены позже). данной кнопки приводит к открытию одноимённого окна, назначение кото- Под полем Properties находятся поля рого состоит в корректировке предна- Interactive Routing Options и Visualization строенного набора значений ширины (см. рис. 13). Они содержат опции проводников. интерактивной трассировки, которые были описаны выше, за двумя исключе- ПАНЕЛЬ PROPERTIES ниями. В нижней части поля Interactive Routing Options находится поле ввода В среде AD разработчикам ПП досту- Subnet Jumper Length, в котором можно пен удобнейший вспомогательный отредактировать длину перемычки, а инструмент – панель Properties. Дан- в нижней части поля Visualization рас- ная панель содержит опции, позволя- положена галочка Show Length Gauge, ющие «на лету» осуществлять описан- включающая индикатор длины про- ные выше настройки и расширяющие водника. возможности по редактированию пара- метров проводников и ПО. Между полями Interactive Routing Options и Visualization находится поле Данная панель появляется в режи- Rules, в котором содержатся кнопки ме прокладки проводника. Чтобы вос- Width Rule и Via Rule. Первая открыва- пользоваться её возможностями, необ- ет окно правила ширины проводни- ходимо поставить указанный режим ков, вторая – окно правила переход- на паузу, нажав клавишу Tab. Пока ных отверстий. режим прокладки проводника стоит на паузе, можно редактировать любые У некоторых опций указаны горя- опции панели. Чтобы после внесения чие клавиши, которыми можно менять изменений вернуться к процессу трас- соответствующие настройки «на лету», сировки цепи, необходимо щёлкнуть не обращаясь к панели Properties. ЛКМ по значку паузы в центре рабо- чего поля. В самом низу панели Properties распо- ложено поле Help. Оно содержит спи- Поскольку содержимое панели сок основных горячих клавиш, которые Properties фактически дублирует всё, могут понадобиться в процессе трас- что было описано ранее, рассмотре- сировки. ние её функций целесообразно осу- ществить лишь в рамках необходимо- В следующих частях статьи будут го минимума. рассмотрены интерактивная трас- сировка дифференциальных пар и В верхней части панели (см. рис. 12) групп цепей, правила, имеющие отно- расположено поле Net Information, шение к процессу прокладки прово- содержащее краткую информацию о дников, а также некоторые вопросы прокладываемом проводнике: редактирования уже растрассирован- ● Net Name – имя цепи проводника; ных цепей. ● Net Class – имя класса цепи; WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 3 2018
Реклама
ЧЕЛОВЕК И ЗАКОН Техническое регулирование гражданского оборота интеллектуальной собственности как фундамент роста инновационной экономики России Часть 1 Геннадий Фокин ([email protected]) ны только в случае разработки Росси- ей международных стандартов профес- Техническое регулирование – это широко используемая форма частно- сионального менеджмента интеллекту- государственного партнёрства по решению общих задач и тиражированию альной собственности как составного выработанных профессиональным сообществом универсальных решений компонента менеджмента качества для хозяйствующих субъектов в целях совершенствования прикладной производства продукции и услуг. экономики и социальной ответственности. В первой части статьи будет рассказано о существующих мотивациях и проблемах в этой области. Главный эффект – монополия имуще- ственных интеллектуальных (исключи- МОТИВАЦИИ этом нематериальные активы становят- тельных) прав без нарушений антимо- ся фундаментом мировой экономики. нопольного законодательства и норм Россия сильна креативностью тру- Особенно актуальна эта проблематика ВТО. Основные задачи – формирование довых ресурсов, однако до настоящего в части защиты креативности, инвести- эффективных интеллектуальных акти- времени не использует масштабно и ций и активов хозяйствующих субъек- вов и защита инвестиций в них; форми- эффективно результаты интеллектуаль- тов авторским правом – наиболее пер- рование рынка инноваций и технологий ной деятельности (РИД) как инструмент спективным с позиций комплексного как фундамента несырьевой экономи- формирования добавленной стоимости, охвата рынков, продолжительности ки России и её лидерства на зарубежных инновационной экономики и моно- жизненного цикла, экономии необхо- рынках, в мировой экономике. полии исключительных (имуществен- димых ресурсов, стимулирования кре- ных) интеллектуальных прав. В течение ативности работников. ПРОБЛЕМЫ последних лет решением этой пробле- мы заняты почти все органы государ- Всё возможно – как следствие гра- Техническое регулирование (стан- ственной власти и общественные экс- мотного формирования нематери- дартизация требований и оценка соот- пертные объединения. Цель – стимули- альных активов (именно они являют- ветствия в форме сертификации соот- ровать совершенствование социальной ся трендом роста и лидерства трансна- ветствия установленным требованиям) активности, отечественных технологий, циональных корпораций), программ коммерциализации и гражданского экономики и лидерства. инновационного развития интеллек- оборота интеллектуальной собствен- туальных активов и профессиональ- ности является её основной пробле- Конкурентные преимущества интел- ной лицензионной политики, прак- мой в части направлений, методов и лектуальных активов (имущественных тики хозяйствующих субъектов. При практик использования этого между- интеллектуальных прав) бесспорны: это этом обеспечивается капитализация народно признанного и эффективно- повышение удовлетворённости резуль- предприятий за счёт имущественных го инструмента для совершенствования татами, а значит производительности прав и повышается их ликвидность за экономики отечественных предприя- труда и социальной активности, обе- счёт очевидности перспектив бизне- тий, субъектов Российской Федерации спечение рентабельности производства, са, возможностей франшиз техноло- и России в целом. востребованности наукоёмкой продук- гий и качества. ции, технологий и защита результатов Имеющиеся и разрабатываемые креативности, инноваций, технологий, С появлением рынка интеллектуаль- национальные стандарты РФ в пред- активов и культурных ценностей России. ной собственности появилась возмож- метной области не просто морально ность технического регулирования соз- устарели – национальные стандарты в Отечественная и зарубежная прак- дания (например, в порядке трудовых отношении патентных исследований и тики коммерциализации интеллекту- отношений и обязанностей), регламен- патентного формуляра базируются на альной собственности «заточены» на тирования, использования, экспорта и устаревших правовых нормах и не учи- патентное право и средства индивиду- импорта результатов интеллектуаль- тывают более 70% рынка, связанного с ализации, которые, по сути, интеллек- ной и научно-технической деятельно- использованием объектов авторского туальной собственностью не являются сти, технологий и инноваций с новых права и сложных объектов гражданско- и её не охраняют (у средств индивидуа- позиций – а это уже лидерство. Кто го оборота интеллектуальной собствен- лизации нет автора; они только прирав- устанавливает стандарты, тот регули- ности (результатов научно-технической нены к РИД, при этом их широкое рас- рует рынок, добавленную стоимость, деятельности (РНТД), методик, техноло- пространение и использование свиде- потребительский спрос, ценовую поли- гий, инноваций, ноу-хау и других). тельствуют об их активном влиянии на тику, экспорт и импорт. экономику через франчайзинг, тамо- Более новые стандарты – «Издания. женный контроль импорта и контроль Экспансия на высокотехнологичные Знак охраны авторским правом. Общие контрафакта в торговых сетях). При рынки и мировое лидерство возмож- требования и правила оформления» и «Интеллектуальная собственность. Тер- 84 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 3 2018
ЧЕЛОВЕК И ЗАКОН мины и определения» – противоре- ные нормативные акты предприя- тивной судебной практики не хватает чат правовым нормам РФ (например, тий, ведомств и рекомендации «ака- доказательств – правоподтверждаю- последний – в основном и всех про- демических» специалистов содержат щих документов по всему жизненному изводных определениях). При этом «полупатенты», «софтверные патен- циклу интеллектуальной собственно- образовательные и профессиональные ты» и публичные РНТД, изобретения, сти (от её создания, объективного при- стандарты для специалистов по управ- полезные модели в режиме коммерче- знания и паспортизации до заверше- лению правами на результаты интел- ской тайны как ноу-хау (секреты произ- ния действия интеллектуальных прав). лектуальной деятельности не базиру- водства), а паспортизация и франчай- ются на производственных практиках зинг технологий не работают; повсе- Суд по интеллектуальным правам и не решают необходимых задач. местно растёт правовой нигилизм и не имеет экспертов по всему спектру вероятность административных, нало- рисков гражданского оборота интел- Разработчики национальных обра- говых, уголовных правонарушений в лектуальной собственности. Палата зовательных, профессиональных стан- сфере гражданского оборота интел- по патентным спорам ФИПС вообще не дартов никогда не бывали на производ- лектуальной собственности. является элементом судебной системы стве и не имеют представления о том, Российской Федерации и решает толь- что из себя представляет, зачем нужна Как правило, с гражданским оборо- ко ведомственные вопросы. и как используется интеллектуальная том интеллектуальной собственности собственность в рыночной экономике. ассоциируют аудиторов, оценщиков, Программы инновационного разви- патентоведов, юристов. У каждого своя тия предприятий превратились в фиго- Это неудивительно: количество публи- специализация, далёкая от коммерци- вый листок, которым прикрывается каций не характеризует профессиональ- ализации и управления рисками граж- отсутствие профессионального менед- ной компетентности. Образовательные данского оборота интеллектуальной жмента интеллектуальной собственно- и профессиональные стандарты раз- собственности, но именно их, за неи- сти. В этих условиях каждый объект рабатываются без учёта требований мением лучшего, предприятия пытают- интеллектуальной собственности пло- технического регулирования, госу- ся использовать для создания эффек- дит проблемы предприятий, их смеж- дарственный технический комитет тивных интеллектуальных активов. ников и правопреемников, особенно в по стандартизации «Интеллектуаль- Результат – обжёгшись на молоке, дуем части реализации правовых норм ста- ная собственность» (ТК-481) форми- на воду: лучше не иметь интеллектуаль- тей 1228, 1270, 1295, 1357, 1370, 1372, ровался и функционирует без участия ной собственности, чем иметь пробле- 1373 Гражданского кодекса РФ. специалистов в области технического мы с правовым нигилизмом и админи- регулирования гражданского оборо- стративными, налоговыми, уголовны- У предприятий на инновационное та интеллектуальной собственности. ми правонарушениями в этой сфере. развитие просто нет средств и специ- Соответственно, компетентность ака- алистов, а государственная поддержка демической науки, должностных лиц Как правило, договорная практи- зарубежного патентования приводит к образовательных организаций и про- ка софтверных компаний опирает- обратному эффекту – Россия продол- мышленных предприятий не позволяет ся на свидетельства о государствен- жает финансирование конкурирую- решать вопросы объективного призна- ной регистрации программ для ЭВМ, щих зарубежных экономик и на этапе ния, паспортизации, подтверждения и баз данных, топологий интегральных оформления зарубежных патентов теря- коммерциализации интеллектуальной микросхем. Однако эти свидетельства ет перспективные технические решения. собственности: задачи инновационной не являются правоустанавливающими экономики и социального совершен- и правоподтверждающими докумен- В настоящее время отсутствует ствования невозможно решать архаич- тами (это всего лишь свидетельства о паспортизация результатов интеллек- ными инструментами. регистрации) – соответственно, сделки туальной, научно-технической деятель- с их использованием могут быть при- ности, интеллектуальной собственно- Если патентные исследования по тре- знаны судом введением в заблуждение, сти и продукции, технологий с интел- бованиям государственных контрактов в результате чего придётся пересчитать лектуальной собственностью – сделки, на выполнение научно-исследователь- и уплатить все налоги, штрафы за пред- за исключением объектов патентного ских и опытно-конструкторских работ шествующие отчётные периоды. права и средств индивидуализации, (НИОКР) проводятся, то их эффек- совершаются в отношении неопреде- тивность ничтожна. Если заявляется о Аналогичные проблемы у свиде- лённых объектов. «патентной активности», «зонтичных тельств о регистрации и депониро- патентах» или «патентных ландшаф- вании «произведений» различны- Хозяйствующие субъекты добавлен- тах», по сути, менеджмент интеллек- ми организациями по коллективно- ную стоимость инноваций даже не туальной собственности только ими- му управлению авторскими правами: декларируют (почти ни один паспорт тируется. лицензионные платежи собираются, а и формуляр промышленных изделий оснований для их сбора нет (регистра- не содержит указаний на интегриро- При этом специалистов по оценке ция и депонирование «произведений» ванную интеллектуальную собствен- соответствия РИД условиям охраны в целях подтверждения их приоритета ность и её ценность, добавленную сто- авторским и/или патентным правом имеют весьма спорный эффект, так как имость) – трудно искать чёрную кош- и управлению рисками гражданско- всегда есть возможность найти более ку в тёмной комнате, особенно если её го оборота интеллектуальной соб- ранний документ). там нет. Таким образом, имеем «инно- ственности, по учёту, оптимизации, вационный» рынок без интеллектуаль- использованию и приватизации нема- Окончательное решение по граждан- ной собственности. териальных активов, по лицензионной скому обороту интеллектуальной соб- политике и практике как нет, так и не ственности всегда принадлежит суду, Нельзя забывать, что ни один договор предвидится. Как следствие, локаль- однако в настоящее время для объек- сам по себе (без правоподтверждающих документов) не подтверждает охрано- способности РИД и интеллектуальных СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 3 2018 WWW.SOEL.RU 85
ЧЕЛОВЕК И ЗАКОН прав. Договоры гражданского оборота лись в 128 000 рублей интеллектуаль- вания в России падает, поскольку не интеллектуальной собственности толь- ных активов России. обеспечивает продвижение на рынки ко устанавливают обязательства сторон и не является инструментом привлече- сделки в отношении «чего-то» – эффек- Использование «интеллектуальной ния инвестиций. При этом администра- тивность и риски сделки не определе- собственности» РФ в порядке НИОКР, тивное стимулирование патентования ны. В условиях такой неопределённости в производстве, в составе РНТД, тех- вызывает у бизнеса отторжение. привлечение инвестиций и страхова- нологий и продукции с акцентом на ние рисков практически невозможны: патентование оборачивается для оте- Даже при наличии «инновационно- инвесторы и кредитные организации чественных предприятий непомер- го» патента у инвесторов нет уверенно- имеют ресурсы и хотят инвестировать, ным «лицензионным оброком» – более сти, что этот патент не «перекрашен» но определённость и гарантии этих 30% выручки. При этом кавычки выше и его невозможно отозвать (аннули- инвестиций отсутствуют. поставлены не случайно: чтобы избегать ровать) – например, из-за отсутствия судебных издержек, предприятия пред- или качества договора работника и Правовые нормы четвёртой части почитают не создавать или не оформ- работодателя об отчуждении права на ГК РФ таковы, что интеллектуальная соб- лять интеллектуальную собственность получение патента. Работодатели это- ственность в составе результатов науч- по государственному заказу НИОКР, но го договора и накладных расходов на но-технической деятельности, создан- если случится, чтобы не вызывать про- отчуждение прав всячески избегают – ных по государственным контрактам блем с инвестициями, исправно платят не помогает даже постановление Пра- НИОКР, принадлежит инвестору и под- «лицензионный оброк». вительств РФ от 4 июня 2014 г. № 512 лежит учёту в государственном реестре «Об утверждении Правил выплаты воз- РФ (отдельные разделы реестра ведут Как отмечалось выше, одна из суще- награждения за служебные изобрете- различные министерства и ведомства). ственных проблем – оценка соответ- ния, служебные полезные модели, слу- Мало того, что отсутствует предваритель- ствия РИД условиям охраны автор- жебные промышленные образцы» о ный анализ и прогноз экономической ским и/или патентным правом, т.е. объ- бездоговорной форме стимулирова- эффективности оформляемой и реги- ективное признание и паспортизация ния креативности работников. Работ- стрируемой интеллектуальной собствен- интеллектуальной собственности пра- никам, в свою очередь, патент нужен ности – государственный реестр интел- воподтверждающими документами. Без только для получения звания и льгот лектуальной собственности РФ, по сути, авторского права даже патент не рабо- ветерана труда. содержит спорную и ничем не подтверж- тает – формулу изобретения, полезной дённую информацию о составе РНТД и модели, селекционного достижения, вне Недостаточно иметь интеллектуаль- охраноспособности РИД. зависимости от оформления патента, ную собственность – нужно уметь ею можно и нужно (!) охранять авторским управлять и эффективно использовать Это следствие поэтапных отчётов о правом. Но это никому не нужно, так как нематериальные активы. Однако отече- результатах НИОКР без оценки соот- объективное признание и паспортиза- ственные предприятия этого не умеют ветствия РИД условиям охраны автор- ция интеллектуальной собственности в и избегают: среди должностных лиц ским и/или патентным правом и о режиме авторского права или ноу-хау предприятий нет профильных специ- результатах патентных исследований (секретов производства) на собирае- алистов. Патентоведы и юристы в этих без анализа рисков нарушений автор- мость государственных пошлин не влия- вопросах некомпетентны, бухгалтеры, ских прав. Например, государственный ет. Соответственно, одна и та же форму- аудиторы и оценщики некомпетентны инвестор оплачивает патентные иссле- ла изобретения размером до полутора в гражданском обороте интеллектуаль- дования программ для ЭВМ, программ- страниц позволяет оформить несколько ной собственности, привлечённые кон- ных комплексов, баз данных и получает патентов только за счёт эквилибристи- сультанты предлагают и продают свою «победные реляции» – объектов и нару- ки причастных и деепричастных обо- узконаправленную компетентность – шений патентного права нет. Но их там ротов речи – великий и могучий рус- как правило, результаты исполнения и не может быть: программы для ЭВМ ский язык это позволяет. Как правило, их рекомендаций по совокупности являются объектами авторского пра- читаешь такие формулы «изобретения» вопросов несовместимы и приводят к ва, а базы данных являются объектами и задаёшься вопросом: как удаётся экс- негативным последствиям. Отсутству- смежного права. При этом о возможных пертам ФИПС сохранять серьёзность ют специалисты профессионального нарушениях авторских прав и послед- (на форуме «Армия – 2017» представи- менеджмента интеллектуальной соб- ствиях скромно умалчивается. Техниче- тели ФИПС и Роспатента были откро- ственности, без которых интеллектуаль- ские задания, методики, стандарты на венны: искать «золото» в поступающих ная собственность не станет эффектив- процессы и технологии (перспектив- заявках на оформление патента не про- ными активами предприятий – соответ- ные результаты научно-технической сто трудно, а нерентабельно; да и зада- ственно, не будет роста инновационной деятельности, охраняемые авторским чи такие не стоят, специалистов нет)? экономики и мировых конкурентных правом) в расчёт вообще не принимают- преимуществ, лидерства России. При- ся – закрома Родины (золотовалютный Патентование исчерпало свои конку- ходится признать: рынку инноваций не запас инноваций и активов) продол- рентные преимущества, не определя- дают встать на ноги низкая компетент- жают напоминать авгиевы конюшни. ет инновационность и конкурентных ность и правовой нигилизм. В своё время на это обратил внимание преимуществ предприятий и отраслей. Сергей Вадимович Степашин: в годовом Патентование за рубежом – это патент- Во второй части статьи будут пред- отчёте Счётной палаты РФ об использо- ные войны (изматывание конкурентов ложены пути решения указанных про- вании бюджетных средств отмечалось, судебными издержками), патентование блем, а также даны некоторые рекомен- что миллиарды, потраченные на госу- в России – это «иконостас» на сайтах дации предприятиям в области граж- дарственный заказ НИОКР, преврати- предприятий и льготы ветерана труда данского оборота интеллектуальной для пенсионеров. Статистика патенто- собственности. 86 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 3 2018
Реклама
Реклама
Реклама
Реклама
Search