Important Announcement
PubHTML5 Scheduled Server Maintenance on (GMT) Sunday, June 26th, 2:00 am - 8:00 am.
PubHTML5 site will be inoperative during the times indicated!

Home Explore บทท่ 8 วงจรนับ (counter)

บทท่ 8 วงจรนับ (counter)

Published by ห้องสมุด สุธีร์, 2017-03-21 03:43:30

Description: วงจรนับ (counter)

Keywords: วงจรนับ,counter

Search

Read the Text Version

บทท่ี 8 วงจรนับวัตถปุ ระสงค หลังจากไดเรียนจบบทเรียนน้แี ลว จะสามารถ 1. เขยี นวงจรนับแบบรปิ เปล โดยใช J-K ฟลิปฟลอปได 2. วิเคราะหก ารทํางานของวงจรนับแบบ Synchronous mod-3 และ mod-8 ได 3. เขา ใจการทาํ งานและเขยี นไดอะแกรมของวงจรหาความถ่ีได 4. อา นคา data sheet ของไอซี TTL และ CMOS ทเี่ ปน เคานเ ตอรไ ด 5. ทาํ นายการทํางานของวงจรนับจากตารางความจริงของคูม อื ไอซไี ด 6. วเิ คราะหก ารทํางานของอุปกรณอเิ ลก็ ทรอนิกสท ี่ใชว งจรนับ เชน เกมสสุมตัวเลขได 7. หาคา เอาตพุตของเคานเตอรท ไี่ ดจากสญั ญาณอินพตุ ได 8. เขยี นวงจรขับตัวตรวจจับแบบใชแ สงได 9. รจู ักเคร่อื งมอื ทใี่ ชทดสอบหาจดุ เสยี ของวงจรลอจิก 10. หาจุดบกพรอ งของวงจรริปเปล เคานเ ตอรแ ละแกป ญ หาได การทํางานของวงจรนบั หรอื เคานเตอรท ี่พบเห็นกันโดยทัว่ ไปจะมี 2ชนดิ คือ การทํางานที่สงั เกตเห็นไดง า ย เชน การนับลําดับตางๆ การในรูปแบบของคาบเวลา และการจดั ลําดับเหตุการณ อีกแบบหนึ่ง คือ การทํางานที่สังเกตไดย าก เชน ตัวหารความถ่ี การอางตําแหนง ของหนว ยความจํา ในบนนี้จะกลา วถึงชนิดและการใชงานเคานเ ตอรแบบตางๆ ทีส่ รางขึ้นจากฟลปิ ฟลอป ตัวเคานเ ตอรนั้นมใี ชอยางกวา งขวางในวงจรดิจิตอล จงึ ถกู สรางใหอยใู นรูปของไอซี ซง่ึ มที ง้ั แบบ TTL และ CMOS8.1 วงจรนบั แบบรปิ เปล ลาํ ดบั การนับแบบเลขฐานสิบแสดงไดด งั รปู ที่ 8.1 ซ่ึงจะเปนการนบั เลขฐานสองแบบ 4 หลัก (ซงึ่แทนดวย D.C.B และ A) สามารถนบั จาก 0000 ถึง 1111 (0 ถงึ 15 ในเลขฐานสิบ) ในหลกั A จะเปนตําแหนง 1s ของเลขบานสอง หรือเรียกวาบิตตา่ํ สุด (LSD : Least Significant Bit) ซ่ึงเปนบติ ที่มีความสําคัญตาํ่ สุดหรอื คา น้าํ หนักตาํ่ สดุ สวนหลัก D จะเปนตาํ แหนง 8s ของเลขฐานสอง หรือเรียกวาบิตสงู สดุ (MSD : Most Significant Bit) ซึง่ เปน บิตทีม่ คี วามสําคัญสงู สดุ หรอื คานา้ํ หนกั สงู สุด ถาสงั เกตดูจะเหน็ ตาํ แหนง 1s มีการเปล่ียนแปลงสถานะบอ ยมาก ถาออกแบบวงจรนับโดยใหน ับตัง้ แต 0000 ถึง 1111เอาตพ ตุ ที่ออกมาจะแตกตางกนั 16 สถานะ หรอื เรยี กวา modulo (mod-) 16 counter คาํ วา มอดลู ัส(modulus) ของเคานเตอร คือ จํานวนความแตกตางกันของสถานะของวงจรนับที่เปน ไปได เคานเ ตอร mod-16 จะมีสถานการณนบั แตกตางกนั ได 16 คา สามารถทาํ ไดโดยใช J-K ฟลิปฟลอป 4ตวั มาประกอบเปนวงจรนบั ดงั รปุ ที่ 8.2 (a) โดย J-K ฟลปิ ฟลอปแตล ะตัว จะถูกเคลยี รใหเ ปนเปน0000 กอ น เมื่อมี clock ลกู แรกเขา มายังฟลิปฟลอปตวั ที่ 1 (FF1) วงจรจะเรมิ่ ทาํ งานและแสดงผลเปน 191

0001 และเมื่อ clock ลกู ท่ีสองเขามาทาง FF1 เอาตพตุ Q จะกลายเปน “0” ซึ่งทาํ ให FF2 ทาํ งานโดยมีเอาตพตุ ของฟลิปฟลอปแตละตวั จะตอกับ CLK อนิ พุตของฟลปิ ฟลอปตัวถดั ไป พิจารณารปู ที่ 8.1 หลกั A(1s) จะมีการเปลยี่ นแปลงทกุ ครง้ั เม่อื มีการนับเกิดข้ึน ซึง่ หมายความวา FF1 ในรูปท่ี 8.2 (a) จะมีการทํางานเมอ่ื pulse เขามา สว น FF2 จะมกี ารเปล่ียนแปลงเพียงครงึ่ หนึ่งของ FF1 ซ่ึงสังเกตไดจากแถว Bในรปู ท่ี 8.1 รูปท่ี 8.1 ตารางแสดงผลการนับของวงจรนับอะซงิ โครนัส นับขนึ้ ขนาด 4 บิต การนบั ของเคานเ ตอร mod-16 แสดงไดด ังรปู ที่ 8.2 (a) โดยนบั สญั ญาณ clock10 ลูก ดานบนจะแสดงสัญญาณ CLK ทีเ่ ขามาทางอินพุต ถัดลงมา จะแสดงสถานะฟลิปฟลอปที่เปลีย่ นไป สวนการนับแบบเลขฐานสอง จะแสดงไวดานลา งสดุ เสนตรงที่ขดี จากบนลาง จะแสดงการทริก หรือสัญญาณกระตุนของฟลปิ ฟลอปแตล ะตวั ซงึ่ ฟลปิ ฟลอปตวั หน่ึงจะมีผลกระทบกับฟลิปฟลอปตัวถัดไปตัวอยางเชน ทจ่ี ดุ a ของ clock ลูกที่ 8 จะเหน็ วา CLK จะทริก DD1 เปน สาเหตุใหสภาวะ 1 เปลย่ี นสภาวะ 0 ทาํ ให FF2 และ FF3 เปลี่ยนจาก สภาวะ 1 ไปเปนสภาวะ 0 เชนกนัเอาทพ ุต Q ของ FF3 ทีเ่ ปลี่ยนเปน 0 จะทรกิ เกอร FF4 ทําให FF4เปลย่ี นจากสภาวะ 0 ไปเปน สภาวะ1 จะเห็นวาการเปล่ยี นสภาวะนนั้ จะมีผลกระทบเปน ลูกโซดว ยเหตนุ ้ี วงจรนับแบบนี้จงึ เรียกวา ตวั นบั ริปเปล (Ripple Counter) หรอื เรียกอกี ชื่อหนงึ่ วา วงจรนบัแบบระลอก 192

รปู ท่ี 8.2 วงจรนับอะซิงโครนสั ขนาด 4 บิต (นับขนึ้ ) และรูปคลื่นพลั สของ สญั ญาณนาฬิกา และเอาตพตุ ของฟลิปฟลอปแตละตัว วงจรนับหรอื เคานเ ตอรใ นรูปที่ 8.2 ถือไดว า เปน ตวั อยา งการอธบิ ายตัวนบั ทริปเปล mod-16และตัวนับ 4 บิต หรอื ตัวนับแบบอะซงิ โครนัส (Asynchronous) โดยช่อื ของเคานเตอรสามารถบอกลกั ษณะของเคานเตอรไ ด เชน ริปเปลและอะซงิ โครนสั จะบอกวา ฟลปิ ฟลอปทง้ั หมดไมไ ดม ีการทรกิ หรือถูกกระตุนดว ยสัญญาณ นาฬกิ าพรอมกันทกุ ตวั เตานเ ตอรแ บบ mod-16 จะบอกผลของสภาวะทงั้ หมดท่ีไดจากเคานเตอรม ี 16 สภาวะเคานเ ตอรแ บบ 4 บิต จะบอกจํานวนตาํ แหนง เลขฐานสองของเอาทพ ตุของเคานเ ตอรม จี าํ นวน 4 บิตเปนตนโจทยท ดสอบ1 อุปกรณในรปู ท่ี 8.3 เรยี กวา…………………………………-bit ripple counter2 อปุ กรณในรปู ที่ 8.3 เรียกวา mod………………………counter3 ตวั j-k ฟลปิ ฟลอป ในรปู ท่ี 8.3 จะทาํ งานเปน ....................hold ,reset ,set ,toggle) modeเพราะวา input J-K เปน ลอจิก 1 ท้ังคู4 จากวงจรในรปู ที่ 8.3 จะเขยี นไบนารีเ่ อาตพ ุต หลังจากพัลสเขา ไปทกุ ๆ จาํ นวนลกู 6 ลกู 193

รูปท่ี 8.3 โจทย ขอ 1 – ขอ 48.2 วงจรทรปิ เปล mod-10 การนบั ของวงจรเคานเ ตอร mod-10 จะมีการนับจาก 0000 ไปจนถงึ 1001 (0ถงึ 9 ในเลขฐานสิบ)ซ่งึ เปนดานบนของตางรางในรปู ท่ี 8.1 เคานเ ตอร mod-10 จะแสดงดว ยเลขฐานสอง 4 บิต คอื ตาํ แหนง8s ,4s ,2s และ1s โดยใชฟลปิ ฟลอป 4 ตัวตอ เขาดว ยกันดงั รปู ท่ี 8.4 โดยจะเพม่ิ NAND เกตเขาไปเพอื่ ใชเคลยี ฟ ลปิ ฟลอปทงั้ หมด ใหก ลายเปน 0 ทันทหี ลงั จากเคานเ ตอรนบั ไปถึง 1001 ถา หากดูตารางในรูป ที่ 8.1 จะเหน็ วา หลังจากการนับมาถึง 1001 สภาวะถัดไปคือ 1010(10) เราจะตองใชส ภาวะนี้ ไปทําให NAND เกต เคลยี ฟลปิ ฟลอป ใหกลายเปน 0000 ดังรูปท่ี 8.4 หลังจากนั้นเคานเ ตอรจะเริม่ นบั ใหมจาก 0000 ไปจนถึง 1001 อกี ครั้ง ซ่งึ กค็ อื ใช NAND เกต เปนตัวรีเซ็ต (Reset) เคานเตอรใ หกลับไปสูสภาวะ 0000 เคานเ ตอรช นดิ น้ี จะเรียกวาเปน “Decade Counter” หมายถงึ นบั สิบ ในทางอตุ สาหกรรมจะมีฟลปิ ฟลอป 4 ตวั ตอ อยเู ปนวงจรเคานเตอร ภายในชิพไอซีเพียงตวั เดียว ทําใหส ามารถหาวงจรนบัแบบนมี้ าใชไดโดยงา ย 194

รูปที่ 8.4 Logic Diagram ของ Mod-10 Ripple Counterโจทยทดสอบ5 จากรปู ท่ี 8.4 เปนลอจิกไดอะแกรมของ mod-10 ……………..(ripple หรอื synchronous counter)6 จากรูปที่ 8.5 เรียกวา ......................... (ripple หรือ synchronous ) mod………….. counter7 จากรูปท่ี 8.5 เรยี กวา จงเขยี นไบนารีเอาตพตุ หลังจากพลั สเขา ไปทกุ ๆ 6 ลกู รูปท่ี 8.5 โจทย ขอ 5 – ขอ 78.3 วงจรนับแบบซงิ โครนสั วงจรนับแบบซิงโครนัส คือวงจรนับที่ตอขาสัญญาณนาฬิกาควบคุมฟลิปฟลอปทุกตัวในวงจรใหทํางานพรอมกัน แตการควบคุมใหวงจรนบั แสดงผลการนบั เลขใดๆ นั้นขึ้นอยกู ับการออกแบบวงจรควบคุมอนิ พตุ J-K ของฟลปิ ฟลอปแตละตวั ดงั นั้น วงจรนบั แบบซงิ โครนัสจึ้งสามารถออกแบบใหน ับขน้ึ หรือรับลงไดตามท่ีผูออกแบบตองการ จํานวนครั้งของการนับสําหรับวงจรนับแบบซิงโครนัสเหมือนกันกับวงจรรบั 195

แบบอะซิงโครนัส กลาวคือ เทากับ 2������������ เมื่อ ������������ คือจํานวนฟลิปฟลอปของวงจรนับ ตัวอยางเชน วงจรนับซิงโครนัสท่ีมีฟลิปฟลอป 3 ตัว สามารถออกแบบวงจรใหนับเลขไดระหวา ง0-7 โดยกําหนดใหวงจรนับขึ้นหรือนับลงก็ได ลกั ษณะของวงจรซิงโครนัสขนาด 3 บิต และวงจรควบคุมอินพุต J-K แสดงดงั รปู ท่ี 8.6 รปู ที่ 8.6 แสดงวงจรนับแบบซงิ โครนัสขนาด 3 บติ a) Logic Diagram b) Counting Sequenceวงจรนับแบบซิงโครนัส ในรปู ที่ 8.6 a) เปนการนับแบบ 3 บติ (mod-8) สงั เกตเห็นไดว า สัญญาณ CLKจะเชื่อมตอกนั โดยตรงไปยงั input CLK ของฟลปิ ฟลอป แตละตัว หรอื กลาวไดว า เปนการเชอื่ มตอ กนัแบบขนาน ในรปู ท่ี 8.6 b) แสดงใหเห็นลาํ ดบั ในการทํางานของเคานเ ตอร หลัก A เปนหลัก 1s จะนับโดยฟลิปฟลอป FF1 หลัก B เปนหลกั 2s จะนับโดยฟลปิ ฟลอป FF2 หลัก C เปนหลกั 3s จะนับโดยฟลปิ ฟลอป FF3ข้นั ตอนของการนับเคานเ ตอร mod-8 แสดงดังรปู ท่ี 8.6 a) และ 8.6 b) มกี ารทํางานดังน้ีPulse 1- row 1 196

การทาํ งานของวงจร FF แตล ะตวั จะไดร บั สญั ญาณจาก clock แตจ ะมเี พยี ง FF1 เทา นน้ั ทส่ี ามารถtoggle เน่อื งจากอนิ พุต J-K ตอ ออยูก ับลอจกิ 1 FF1 เปลีย่ นจาก 0 เปน 1 เอาตพ ุตที่ไดคือ : 001 <1 ฐานสิบ>Pulse 2- row 3การทาํ งานของวงจร FF แตล ะตวั จะไดรบั สญั ญาณจาก clock แตจ ะมีเพียง FF2 เกิดการ toggle เพราะมีบติ 1s ทเ่ี ปน ลอจกิ 1 ถกู นําไปใชกบั อนิ พตุ J-K ของ FF2 2 ดังนน้ั FF1 กับ FF2 เกดิ การ toggle FF1 เปลี่ยนจาก 1 เปน 0 เอาตพุตที่ไดคือ : 010 <2 ฐานสิบ>Pulse 3- row 4การทํางานของวงจร FF แตล ะตวั จะไดร บั สญั ญาณจาก clock แตจ ะมี FF1 เทานนั้ ท่ีเกิดการ toggleดังนน้ั FF1 เปล่ยี นจาก 1 เปน 0 เอาตพตุ ทไี่ ดค ือ : 011 <3 ฐานสบิ >Pulse 4- row 5การทาํ งานของวงจร FF แตละตัวจะไดรับสัญญาณจาก clock และฟลิปฟลอปทกุ ตัว จะทํางานเปนtoggle เปลี่ยนเปนสภาวะตรงกนั ขา ม เห็นไดว า การทํางานในครั้งนี้ ขา J-K ของฟลิปฟลอป FF3ไดรัรบลอจิก 1 ดงั นั้น FF1 เปลีย่ นจาก 1 เปน 0 FF2 เปลย่ี นจาก 1 เปน 0 FF3 เปลย่ี นจาก 1 เปน 0 เอาตพ ตุ ทไี่ ดค ือ : 100 <4>Pulse 5 - row 6การทาํ งานของวงจร : FF แตละตัวจะไดร บั สัญญาณจาก clock แตจะมี FF 1 ทที่ าํ งานเปน toggle FF เปลยี่ นจาก 0 เปน 1 เอาตพุตท่ไี ดค ือ : 101 <5>Pulse 6 - row 7การทาํ งานของวงจร : FF แตล ะตัวจะไดร บั สัญญาณจาก clock แตจ ะมี FF 1 และ FF2 ตัวท่ที ํางานเปนtoggle FF1 เปลย่ี นจาก 1 เปน 0 FF2 เปล่ียนจาก 0 เปน 1 เอาตพุตทีไ่ ดค อื :110 <6>Pulse 7 - row 8 197

การทาํ งานของวงจร : FF แตละตวั จะไดร ับสัญญาณจาก clock แตจ ะมี FF 1 ตัวทีท่ าํ งานเปน toggle FF1 เปล่ียนจาก 1 เปน 0 เอาตพุตท่ีไดคือ :111 <7>Pulse 8 - row 9การทํางานของวงจร : FF แตล ะตัวจะไดรบั สัญญาณจาก clock และ FF ทง้ั หมดทํางานเปน toggle FF ท้งั หมด เปลีย่ นจาก 1 เปน 0 เอาตพ ุตท่ีไดคอื :000 <0> ทีผ่ า นมาเปนการศกึ ษาเคานเตอรซิงโครนัสแบบ 3 บติ โดยสรางจาก J-K ฟลปิ ฟลอปที่ใหทาํ งานแบบ toggle mode (J และ K เปน 1) และแบบ disable mode (J และ K เปน 0) ในปจจุบันไอซีภายในบรรจวุ งจรนบั แบบซงิ โครนัสเอาไวมที ง้ั แบบทีน่ าเปน TTL และ CMOSโจทยทดสอบ 8. ตวั เคานเ ตอรทม่ี กี ารทริกฟลปิ ฟลอปทกุ ตวั พรอมกันเรียกวา. . . . . . . . . . . . . (ripple ,synchronous) counter 9. สัญญาณ clock อินพุตจะตอแบบ. . . . . . . . . . . . . (paralle, series) บน synchronouscounter 10. จากรปู ที่ 8.6 (a) ฟลปิ พลอป FF1 จะทํางานแบบ. . . . . . . . . . . . . (hold , reset , set ,toggle) mpde 11. จากรูปท่ี 8.6 สาํ หรับ clock pulse ลกู ท่ี 4 จะมีฟลิบฟลอปกีต่ ัวท่ีทาํ งานเปน toggle 12. จากวงจรในรูปที่ 8.6 AND เกตมีไวทาํ อะไร8.4 วงจรนบั ลง วงจรนบั หรือเคานเตอรท ีไ่ ดศึกษามาเปนการนับแบบนบั ข้ึน (count up) < 0, 1, 2, 3 ….> แตบางครั้งเราจาํ เปนจะตอ งนับลง (count down) <9, 8, 7…..> ในระบบดจิ ิตอลการนบั หรอื เคานเตอรท ใ่ี ชนับต้ังแตจ ํานวนมากกวา ไปสจู ํานวนท่ีนอ ยกวา เรยี กวา การนับลง (down counter) หรือวงจรนบั ลง 198

รูปที่ 8.4 แสดงวงจรอะซงิ โครนสั นบั ลงขนาด 3 บิต a) วงจรนับลง 3 บติ b) ตารางแสดงผลการนบั แผนภาพของ down counter asynchronous mod-8 แสดงไดดังรูปที่ 8.7 (a) สาํ หรบั ขนั้ ตอนการนบั ของเคานเตอรชนิดน้ีแสดงไดด งั รปู ที่ 8.7 (b) จะเห็นวา วงจรนับลงในรปู ท่ี 8.7 (a) จะมลี กั ษณะคลายกับวงจรนับข้ึนในรูปที่ 8.2 (a) จะแตกตางกันเพยี งการทดจาก FF1 ไป FF2 และจาก FF2 ไป FF3วงจรนับลงจะทดจาก Q (not Q) ไปยงั อินพตุ CLK ขอลฟลิปฟลอปตัวถัดไป สวนวงจรนับข้ึนจะทดจาก Qไปยังอนิ พตุ CLKของฟลิปฟลอปตัวถดั ไป สังเกตวาจะมขี า preset control ทจ่ี ะ preset เคานเ ตอรเปน111 <7 ฐานสิบ> เพ่ือใชเ ปน การเริ่มนบั ลง ในวงจรจะมี FF1 เปนตําแหนง 1s <หลกั A> , FF2 เปนตําแหนง 2s <หลัก B> และ FF3เปนตําแหนง 4s หรอื หลัก C ของวงจร counterโจทยทดสอบ13. จากรปู ท่ี 8.7 (a) ฟลิปฟลอปทกุ ตัวในวงจรนบั จะทํางานในโหมด………………(hold, reset, set,toggle) 199

14. จากรูปท่ี 8.7 (a) ลกั ษณะของ clock pulse ชนิดใดท่ีสามารถทริก J-K ฟลิปฟลอปใหท ํางานได(High-to-Low, Low-to-High)15. จากรูปท่ี 8.7 ถา clock pulse ลกู แรกเขามาแลว สภาวะการทาํ งานของฟลิปฟลอปจะเปน อยา งไร…………..(FF1 toggle, FF1 และ FF2 toggle, FF3 toggle, ทกุ ตัว toggle) วงจรจะไดเ อาตพตุ เปน 11016. จงแสดงเอาตพ ตุ จากการนบั เลขฐานสองทกุ ๆ pulse ท่ีเขาไปในรูปท่ี 8.8 จาํ นวน 6 ลกู8.5 วงจรนบั ท่หี ยุดไดดว ยตัวเอง วงจรนบั ลงในรปู ท่ี 8.7 (a) ถา ใหคาเริม่ ตน เปน 000 จะเร่ิมนบั จาก 111 หลงั จากนัน้ จะเปน 110และลดลงตามลําดับ เราสามารถทําใหเคานเ ตอรห ยุดนบั เมอ่ื ข้ันตอนการนบั เสร็จสิ้นได วงจรในรูปที่ 8.7เมอ่ื นับมาถงึ 000 กจ็ ะเริม่ นบั ใหม แตว งจรในรูปที่ 8.9 จะเหน็ วาจะเพมิ่ OR เกตเขา ไปเพื่อใหล อจิก 0 กับอินพตุ J และ K ของ FF1 เม่อื วงจรนับมีเอาตพ ตุ C,B และ A เปน 000 วงจรจะหยดุ นับ ถาตัว presetอีนาเบลิ (ps เปน 0) จะเปน การนับเร่มิ ท่ี 111 การนับสามารถทําใหหยดุ หลงั จากเสร็จสิน้ การนบั โดยใชลอจิกเกตหรือวงจรคอมบเิ นชนั่ มาชวยได โดยใหเอาตพุตยอนกลบั ไปทอ่ี นิ พตุ J และ K ของฟลิปฟลอปตัวแรก เมือ่ ลอจกิ 0 ถกู สงกลบั ไปยังอินพตุ J และ K ของ FF1 ดงั เชนในรูปที่ 8.9 ซึง่ จะทําให FF1กลายเปน hold mode จะมีผลให FF1 หยุดทาํ งานเปน toggle นั่นคือหยดุ การทาํ งานเมอื การนบั มาถงึ000 รปู ที่ 8-9 Self-Stopping down Counter ขนาด 3 บทิโจทยท ดสอบ17. จากรูปท่ี 8.9 จะเปน วงจรแบบ self-stopping 3-bit……………..(down, up) counter18. จากรปู ที่ 8.9 เมอ่ื เอาตพตุ นบั เปน 111 ตัว OR เกตจะไดเ อาตพ ตุ เปน…………….(High, Low) โดยFF1 ทํางานเปน …………….(hold, toggle) mode19. จากรูปท่ี 8.9 เมอ่ื เอาตพตุ เปน 000 ตัง OR เกตจะมีเอาตพตุ เปน……………..(High, Low) โดย FF1ทํางานเปน ……………..(hold, toggle) mode 200

8.6 วงจรหาความถี่ ตัววงจรเคานเตอรส ามารถนาํ มาใชในการหาความถ่ไี ด ตวั อยางของระบบท่ใี ชเคานเตอรหารความถี่แสดงไดด ังรปู ท่ี 8.10 ระบบน้เี ปน พ้ืนฐานของนาฬกิ าอิเล็กทรอนิก โดยมอี ินพตุ เปนความถ่ีคลื่นสีเ่ หลี่ยมขนาด 60 Hz วงจรจะหารความถจ่ี าก 60 Hz ใหไดเอาตพ ุตเปน 1 pulse ตอ วินาที หรอื 1 Hz ซ่ึงกค็ อื คาของวนิ าทีโดยวงจรนบั จะสงสญั ญาณออกมาหนง่ึ ลูกเมอื่ มีสัญญาณนาฬกิ าเขา ไป 60 ลูก รูปที่ 8.10 บล็อกไดอะแกรมของวงจรกําเนิดสัญญาณ 1 HZ รูปที่ 8.11 ตัวอยา งวงจรหารสบิ และเอาตพุตท่ไี ด เมอ่ื มีอนิ พตุ เขาไป สาํ หรับรูปท่ี 8.11 (a) แสดงไดอะแกรมของ decade counter สวนรูปท่ี 8.11 (b)จะแสดงรปู คล่นื CLK ทางอินพตุ และตําแหนง เอาตพ ตุ หลัก 8s (QD) จากรปู จะเหน็ วา เมอื่ มีคลนื่ เขามาทางอนิ พุต 30 ลกู จะมีคลน่ื ทางเอาตพ ตุ ออกมา 3 ลกู การหารความถอ่ี ินพตุ ของวงจรนีจ้ ะเทากับ 30/3 =10ดงั นัน้ เอาตพ ุต QD ของ decade counter ในรปู ที่ 8.11 (a) กค็ ือวงจรนับแบบหารสิบ (divide-by-10counter) หรอื อาจกลา วไดว าความถเ่ี อาตพตุ QD เปน 1/10 ของความถี่ของเคานเตอรถา หากเราใชว งจรนบั จากรปู ที่ 8.11 และวงจร mod-6 (divide-by-6 counter)มาตอ อนกุ รมกันจะไดวงจรหาร60หรือdivide-by-60ไดอะปกรมของระบบตัวอยางแสดงไดใ นรปู ท8ี่ .12 ความถ่ี 60 Hzของคลื่นรปู สเ่ี หลีย่ มทางอินพุต จะถูกแบง ความถ่ีโดย mod-6counterไดเ อาตพ ุตเปน 10Hz หลงั จากนนั้ จะผา นไปยัง divide-by-10 และไดเอาตพ ตุ ออกมาเปน 1Hz 201

ถงึ ตรงนเี้ ราจะทราบวา เคานเตอรส ามารถใชเปนวงจรความถี่ได ซึ่งจะพบไดในอปุ กรณดิจิตอลที่ทํางานเกี่ยวกับเวลา เชน นาฬกิ าดิจติ อลอิเล็กทรอนกิ ส นอกจากน้เี ครื่องมือตา งๆ เชน frequency,oscilloscope ก็เปนอกี ตวั อยา งทใ่ี ชว งจร เคานเตอรในการหารดว ยโจทยทดสอบ20. จากรูปท่ี8.12 ถาอนิ พุตท่ีเขามามีความถ่ี 60,000 เอาตพุตทีไ่ ดจะมคี วามถเ่ี ทาไร21.จากรปู (a) เอาตพ ุตในบติ Aจะมคี าเทากับการหารความถ่อี นิ พตุ ดวยอะไร รปู ที่ 8.12 บล็อกไดอะแกรมของการสรางวงจรหาร 608.7 ไอซเี คานเ ตอร TTL (TTL IC Counters) ในปจ จุบันไดม กี ารประดิษฐไ อซีท่ภี ายในประกอบไปดวยวงจรเคานเตอรอ อกมาใชมากมายในท่นี ้ีจะกลา วถึงไอซเี คานเตอร แบบ TTL ที่นิยมใชก ัน ไอซีเบอรห น่ึงทเ่ี ปน ไอซเี คานเ ตอร เชน เบอร 7493ซ่งึ โครงสรา งภายในเปน แบบ TTL4 -bitbinary counter โดยมรี ายละเอียดโครงสรางภายในดงั รูปท่ี 8.13 (a) จะเห็นวาภายในการประกอบ ดว ยJ-K ฟลิปฟลอป 4 ตัวตอเปน แบบริปเปล โดย J-K ฟลปิ ฟลอป 3 ตัวตอเปน 3-bit ripple counter โดยเอาตพ ตุ QB ตอ กบั CLK ของอนิ พตุ ฟลปิ ฟลอปตัวถัดไป และเอาตพุต QC จะตอ กบั อนิ พตุ ของฟลิปฟลอปตวั ถดั ไปเชน กนั สว นประกอบท่ีสําคัญอีกสวนหนง่ึ คือเอาตพุต Qa ของฟลิปฟลอปตัวบนสุดจะไมตอกับCLK อนิ พตุ ของฟลปิ ฟลอปตวั อ่ืน 202

รูปท่ี 8.13 ไอซี TTL 7493 วงจรนบั แบบ 4 บติ 203

รปู ท่ี 8.14 วงจรลอจิกภายในของไอซีทีทีแอลนบั ขน้ึ นับลงเบอร 74192ดงั น้นั หากตอ งการใช 7493 ใหท ํางานเปน 4 bits ripple counter (mod-16) น้นั จะตอ งตอเอาตพุตQA เขา กบั อินพตุ B หรอื ขา CLK ของฟลิปฟลอป ตวั ท่ี 2 สําหรบั การนบั ของ 7493 ท่ที าํ งานเปน 4bits ripple counter แสดงไดดังรูปที่ 18.13 (c) พจิ ารณาอนิ พตุ J-K ฟลิปฟลอปแตละตวั ในรปู ที่18.13 (a) จะเหน็ ไดวา ฟลิปฟลอ็ ปทํางานเปน toggle mode ถา clock อนิ พุตเขา มายงั 7493 เปนขอบขาลง (negative going trigger) สาํ หรับ NAND เกต 2 อนิ พตุ สามารถใชเ ปล่ียน mod-16 ripplecounter เปน decade counter ในรูปท่ี 8.4 ได ในรปู ที่ 8.13 (a) แสดงตัวอยาง NAND เกต 2 อนิ พุตทบ่ี รรจอุ ยใู นไอซเี บอร 7493 โดยมอี ินพุต R0(1) และ R0(2) ในการรเี ซ็ตตัวไอซเี คานเ ตอร เมอ่ื R0(1)และ R0(2) เปน อินพุตขาเขาใน NAND เกต ในตารางรปู ท่ี 8.13 (d) จะแสดงการใชขา R0(1) และ R0(2) 204

ในการรีเซ็ตตัวไอซเี คานเตอร เม่ื R0(1) และ R0(2) เปน high ไอซีเบอร 7493 จะถกู รเซ็ตใหเปน 0ท้งั หมด (0000) ถา อินพตุ ตัวใดตัวหนึง่ เปน Low จะทาํ การนับ สิ่งท่ีตองระวัง คอื ถา R0(1) และ R0(2)ถกู ปลอ ยลอยไว จะทาํ ใหส ภาวะเปน high จะทาํ ให ไอซีเบอร 7493 อยูใ นโหมดรเี ซ็ต และจะไมท ําการนับ สาํ หรับดานลา งของรูปที่ 8.13(d) สว นทเ่ี ปน Note B จะเปนการแนะนําการใชไอซีเบอร 7493เปน biquinry counter โดยตอ เอาตพตุ QD เขากบั A โดย QA จะกลายเปน Significant Bit ไอซเี บอร 7493 ยังมไี อซีตระกูลเดยี วกนั อีก คือ เบอร 74LS93 และ 74C93 โดยมการจดั ขาเหมือนกันไอซที ีทแี อลอีกเบอรห น่ึง คอื เบอร 74192 up/down decade counter ไอซีตวั นีส้ ามารถทาํ งานไดท ั้งนบั ข้ึนและนับลง โดยรูปที่ 8.14 จะเปนคูมอื ของไอซีตวั นี้ รายละเอียดวงจรภายในของไอซีเบอรนี้แสดงใน รูปท่ี 8.14 (a) ซึ่งเปนวงจรนบั แบบ asynchronous counter และมีลอจกิ ไดอะแกรมดงั รปู ที่ 8.14 (b) เบอร 74192 นี้ บรรจอุ ยูใ นตัวถงั แบบ 16 Pins และ 20 Pins ดังแสดงในรูปที่ 8.14(c) สว นไดอะแกรมเวลาในรูปท่ี 8.14 (d) เปนการทํางานของ 74192 โดยจะเหน็ รปู คล่ืนในสภาวะท่ีเปนclear ,preset (load) ,counter up และ counter down สว นขา clear (CLR) ของ 74192 จะactive high สว นขา load จะ active Low นอกจากน้ันยงั มีไอซีเบอรอนื่ อีก ในตระกูลเดยี วกนั กับ74192 คอื 74LS192 และ 74C192 ในรูปที่ 8.15 (a) เปนการใชไอซเี บอร 7493 ถา เปรยี บเทยี บกบั รปู ที่ 8.13 จะเห็นวาในรูปท่ี8.15 (a) จะไมใชอนิ พุต A และเอาตพ ตุ QA เปน การประยกุ ตใ ชไอซเี บอร 7493 อกี แบบหน่งึ ใหเปนวงจรนบั แบบ 3 บิต สาํ หรับรูปท่ี 8.15 (b) จะแสดงไอซีเบอร 74192 ทาํ เปน decade counter โดยเลอื กใชอ นิ พตุ และเอาตพ ุตไมค รบทกุ ตวั ถงึ ตรงน้จี ะเห็นวาไอซีเคานเ ตอรเบอรหนง่ึ สามารถใชงานไดหลายชนิด ไอซี TTL อกี แบบหนง่ึ คือเบอร 74192 up/down decade counter ไอซตี วั นี้สามารถทาํ งานไดทง้ั นับข้นึ และนบั ลงโดยรปู ท่ี 8.14จะเปนคูมือไอซีตวั นีร้ ายละเอียดวงจรภายในไอซเี บอรแสดงไวใ นรปู ที่8.14(ก)ซง่ึ เปนวงจรแบบมลี อจกิ ไดอะแกรมแสดงไวใ นรปู ท8ี่ .14(ข) 74192 นอกจากนีย้ ังมีไอซเี บอรอ ่นื ที่อยใู นตระกูลเดียวกับ 74192คือ74LS192 74F192 และ 74C192 ในรปู ท่ี 8.15(a) จะเปนการใชไ อซเี บอร 7493 ถาเปรียบเทียบกบั รูปท่ี 8.13 จะเหน็ วาในรูปท่ี8.15(a) จะไมใ ชอนิ พตุ Aและเอาตพุต Qa ซึงเปนการประยกุ ตใชงานไอซี 7493 อีกแบบหนง่ึ ใหเปนวงจรนับแบบ3บิต สําหรบั รปู ที่8.15(ข)จะแสดงไอซ7ี 4192โดยใชง านเปน decade counter ซ่งึ จะเลอื กใชง านอนิ พุตและเอาตพ ุตไมค รบทกุ ตัว ถงึ ตรงน้จี ะเหน็ วา ไอซีเคานเ ตอรเ บอรห นงึ่ สามารถใชง านไดห ลายชนิด 205

รูปท่ี 8.15 (a) ไอซีเบอร 74193 ทําเปน mod-8 counter (b) ไอซีเบอร 74192 ทาํ เปนวงจรนบั ลง 206

ไอซี 7493 ตวั นจ้ี ะถูกบรรจอุ ยูในตัวถงั แบบ14-pin DIP แสดงไวในรูปที่ 8.13(b) ถา สงั เกตใหด ีจะพบวาตําแหนงของขา GND และ Vcc จะแตกตา งจากไอซีอ่ืน คอื จะไมอยตู รงมุมของไอซี นอกจากนี้ไอซี 7493 แลวก็ยงั ไอซีในตระกูลเดยี วกนั อีก ไอซี TTL อกี แบบหนึง่ คือเบอร 74192 up/down decade counter ไอซีตัวน้ีสามารถทํางานไดทงั้ นับขึน้ และนับลงโดยรปู ที่ 8.14จะเปน คูม อื ไอซตี ัวนี้รายละเอยี ดวงจรภายในไอซีเบอรแ สดงไวใ นรปู ที่8.14(a) ซึง่ เปนวงจรแบบมลี อจิกไดอะแกรมแสดงไวใ นรูปท8่ี .14(b) 74192 นอกจากน้ียงั มีไอซีเบอรอ่ืนทอี่ ยใู นตระกูลเดียวกบั 74192 คือ7 4LS192 74F192 และ74C192 ในรูปที8่ .15(b) จะเปนการใชไ อซีเบอร7 493 ถา เปรยี บเทยี บกับรูปท่ี8.13จะเห็นวา ในรปู ที่8.15(a) จะไมใ ชอ ินพุตAและเอาตพุต Qa ซงึ เปนการประยุกตใชงานไอซ7ี 493อีกแบบหน่งึ ใหเ ปน วงจรนบั แบบ3บิต สาํ หรบั รปู ที่ 8.15(b) จะแสดงไอซี 74192 โดยใชง านเปน decade counter ซ่งึ จะเลือกใชงานอินพตุ และเอาตพุตไมค รบทกุ ตัว ถึงตรงนจี้ ะเห็นวาไอซีเคานเตอรเบอรห นงึ่ สามารถใชงานไดหลายชนดิโจทยท ดสอบ22. จากรูปที่ 8.13 ถา อินพตุ ท้งั สองท่ีเขามายัง NAND เกต (ขา 2 และขา 3 ของไอซี 7493) มคี าเปนHigh เอาตพุตจาก 7493 จะนบั เปน ………………….(4บติ )23.จากรปู ที่ 8.13 ไอซีเบอร 7493 คือ……………….-bit………………………(down ,up) counter24.จากรปู ท่ี8.14 ไอซีเบอร 74192 คือ……………….(decade, mod-16) up/down……………(ripple,synchronous) counter25.จากรปู ท่ี8.14 ถา ใหสัญญาณ clock อนิ พุตใหก ับไอซเี บอร 74192 ที่ขา……………..ของไอซีจะทําใหไอซีทําการนับแบบนับข้นึ26. จากรปู 8.14 ไอซีเบอร 74192 ขาอนิ พตุ clear จะแอกตีฟทลี่ อจิกอะไร รูปท่ี 8.16 โจทยวงจรนับของคําถามขอ 27 ถึงขอ 2827. จากวงจรในรูปท่ี 8.16 จงเขยี นคาความถ่ีเอาตพ ตุ ทข่ี า B ,C และ D 207

28. ไอซเี บอร 7493 สามารถทํางานไดในโหมดตอ ไปนี้ ripple divid-by-2, divide-by-4 และ divide-by-..............................8.8 ไอซีเคานเ ตอรแ บบ CMOS ไอซีดจิ ติ อลทเี่ ปน CMOS มหี ลายชนดิ ในท่ีนี้จะยกตัวอยา งไอซี CMOS ท่ีทาํ งานเปน วงจรนับในรูปที่ 8.17 จะเปน ไดอะแกรมของเบอร 74HC393 ซึง่ เปน dual 4-bit binary ripple counter โดยมีไดอะแกรมการทํางานแสดงไวรูปท่ี 8.17 (a) สว นหนา ท่ขี องงานตา งๆ แสดงไวในรูปที่ 8.17 (b) สัญญาณอินพตุ CLK จะเขาทางขา CP ไอซี CMOS เปน เบอรท ใ่ี ชง านไดงาย เคานเ ตอรแตละตัวใน 74HC393 จะประกอบดว ย T ฟลิปฟลอป 4 ตวั โดยแตละตัวจะทํางานในโหมด toggle ซงึ่ มรี ายละเอียดดังรูปท่ี 8.17(c) จะเหน็ วาขา MR เปนขารเี ซต็ แบบอะซิงโครนสั ขา MR น้ีจะแอกตีฟ High หรอื อาจจะมองไดว า ถาขาMR เปน High จะเปนการใหเ อาตพ ตุ เปน 0000 หรือรีเซต็ วงจรนบั รปู ท่ี 8.17 ลกั ษณะของไอซีทีทีแอลเบอร 74HC193 208

รปู ท่ี 8.18 คมู อื ของไอซีทีทแี อลเบอร 74HC193 209

สาํ หรบั ลักษณะขาของ 74HC393 แสดงไวในรูปท่ี 8.17 (d) สว นการนบั ของ 74HC393 จะเรมิ่นับต้งั แต 0000 จนถงึ 1111 โดยมีเอาตพตุ คือ Q0 ,Q1 Q 2 และ Q3 รปู ที่ 8.19 ตวั อยางการใชไอซี 74HC93 เปนวงจรนับ 4 บติ ไอซปี ระเภท CMOS ที่จะกลา วตอไปนีค้ ือเบอร 74HC193 ซง่ึ เปน preset table synchronous4-bitbinary up/down counter โดยมีรายละเอยี ดมากกวาเบอร 74HC393 ลกั ษณะโครงสรางและการทาํ งานของไอซเี บอรนี้แสดงไวดงั รูปที่ 8.18 ไดอะแกรมภายในแสดงในรปู ที่ 8.18 (a) สวนการทํางานของขาตา งๆ แสดงไวในรปู ที่ 8.18 (b) ไอซี74HC193 มีขา CLK อนิ พตุ 2 ขา คอื CPUและ CPDขาอินพตุ CPU ใชส าํ หรบั นบั ขนึ้ สวนขาอนิ พุตCPD ใชส าํ หรับนบั ลง ในรูปที่ 8.18 (b) จะบอกถงึ การทรกิCLK อนิ พตุ ซึง่ จะทริกทช่ี อบเมอื่ สัญญาณเปลีย่ นจาก Low เปน High ตารางความจรงิ ของ 74HC193ในรูปที่ 8.18 (c) จะแสดงการทาํ งานในโหมดตา งๆ ของไอซีเบอรน ้ี เชน reset , parallel load , countup และ count down สวนตารางในดา นซา ยจะชวยใหเ ขา ใจทํางานของขาตา งๆ ของอินพตุ และเอาตพุต ในรูปที8่ .18 (d) จะแสดงในลกั ษณะของสญั ญาณเวลา ของการทาํ งานในโหมดตางๆ ของไอซีเบอร 74HC193 สาํ หรับรูปที่ 8.19 และ8.20 จะแสดงแผนภาพลอจิกไดอะแกรมการประยุกตใ ชง านไอซีเบอร 74HC393 และ 74HC393 จะเปนการใชง านเปน 4-dit binary counter ซ่ึงขา MR จะเปนไดท้งัลอจกิ 0 และ ลอจิก 1 ถาเปน ลอจกิ 1 เอาตพ ตุ จะอยใู ยสภาวะ CLEAR หรอื เอาตพตุ เปน 0000 แตถา ขาMR ไดรับลอจกิ 0 จะเปนการใชไอซีเปน ตัวนบั โดยการเริม่ นับจาก 0000 ไปจนถึง 1111 สว นไอซีเบอร74HC193 ในรปู ท่ี 8.20 จะเปนการทํางานแบบ mod-6 counter โดยจะเริ่มนับจาก 001 ไปจนถึง110 ( 1 ถึง 6) สามารถนาํ ไปใชท ําเปนเกมทอดลูกเตาได โดยตวั NAND เกต ใน mod-6 counter จะทําตวั เปน asynchronous parallel mode (PL) หลงั จากนับไปจนถึงคา สูงสดุ แลว ตัว counter จะถูกLoad ดวยคา 0001 โดยสัญญาณ Clock จะถกู สงผานไปยังทางขา CP และขา MR ตองตอลง groundไอซจี งึ จะทํางาน 210

รปู ที่ 8.20 ตัวอยา งการใชไ อซี 74HC93 เปนวงจรนับ mod-6โจทยทดสอบ29.จากรปู ที่ 8.17 ไอซีเบอร 74HC393 ภายในจะเปนแบบ…......................…..(4-bitbinary,decade)counter30.จากรปู ที่ 8.17ขารเี ซต็ (MR) ของไอซีเบอร7 4HC393จะแอกตีฟ…….......….(High,Low)31.จากรูปท่ี8.17ไอซเี คานเ ตอร7 4HC393ขาอนิ พุตclockจะทริกแบบ…...…..H-to-L,-to-H)สําหรับclockpulse แตล ะลูก32.วงจรในรูปที่ 8.19 คือวงจรmod-..............(number).............(ripple,synchronous)counter33.จากรูปท่ี 8.18 ไอซเี บอร 74HC193 เปนไอซแี บบ….....…..(ripple,synchronous)4-bit up/downcounter34.จากรูปท่ี 8.18 ขารีเซ็ต (MR)นี้ เปน …...…..(asynchronous,synchronous)อินพุตของไอซ7ี 4HC19335.จากรูปที่ 8.18 เอาตพ ตุ ของ 74HC193 จะเขียนวา.....……..(D0-D3,Q0,Q3)36.จากรูปท่ี 8.20 จงเขียนคาการนับแบบไบนารขี องวงจรเคานเ ตอร37.จากรปู ท่ี 8.20 ไอซNี ANDเกต3อนิ พตุ ใชท าํ อะไรในวงจรเคานเตอร38.จากรปู ท่ี 8.17(a) เคร่ืองหมาย > ตรงอินพุตหมายความวา อยางไร 211

8.9 ไอซวี งจรนบั BCD แบบ 3 หลกั ปจจุบนั การสรางวงจรทางดานดจิ ติ อลอิเล็กทรอนิกสน นั้ สามารถทําไดง ายมาก เนือ่ งจากวงจรที่นยิ มใชก ันมากๆไดถูกสรางออกมาเปนวงจรรวมเปน จํานวนมาก ในหวั ขอ น้ีจะกลาวถงึ ตัวอยางของไอซซี ง่ึทาํ หนา ท่ีเปนวงจรนบั แบบ BCD ขนาด 3 หลัก ไอซตี วั นี้เปนแบบ CMOS เบอร 4553 ไดอะแกรมโครงสรา งภายใน ของไอซีตัวนแ้ี สดงไดด ังรปู ท่ี 8.21 ในรูปท8่ี .21(a) เปน บลอ็ กไดอะแกรมแสดงโครงสรา งภายในของไอซีเบอร 4553 และขาสัญญาณของไอซีซึ่งจะเหน็ วา มวี งจรนบั จาํ นวน 3 ตวั ตอเรยี งกนั แบบลาํ ดบั ตั้งแตหลักหนว ยไปจนถึงหลักรอย แตล ะหลักมีชื่อเปน1s,10s,100s การนบั แตล ะหลกั นน้ั จะนบั แบบ BCD ซึ่งจะนับตง้ั แต 0000ไปจนถงึ 1001 สําหรับเอาตพุตที่ไดจากวงจรนับแตละหลัก จะถกู สง ใหกับวงจรแลตซ เพื่อใชในการแสดงผลตอ ไปน้ี ในสว นของการแสดงผลนัน้ คาเอาตพุตท่ีได จะเปนรหัสBCDของแตล ะหลัก (Q0 ถงึQ3) โดยใชวงจรมัลตเิ พล็กซ ในการแสดงคาของแตล ะหลกั ออกมาอยา งรวดเร็ว โดยที่ขา DS1 ถึงขา DS3จะเปน ลอจกิ ”0”ออกมาครงั้ ละหลกั วงจรมลั ตเิ พล็กซน ้ี จะควบคุมดว ยตวั เก็บประจุ C1 ท่ีตออยูทางขา 3กับขา 4 ไอซตี วั น้ีสามารถรีเซ็ตการนบั ใหเ ปน 0 ทกุ หลกั ได โดยสงสญั ญาณลอจกิ ”1” เขาไปทางขา 13สาํ หรับสัญญาณอนิ พุตทไี่ อซีตวั น้ี จะนับจะเปนสัญญาณนาฬิกาเขาไปทางขา12 โดยวงจรจะนับเมื่อมีสัญญาณขอบขาลงเขา มา และวงจรนบั สามารถแลตชข อ มูลการนบั ใหคา งไว ไดโดยการควบคุมท่ี 10 สําหรับรูปที่ 8.21(b) เปน ตารางขอมูลสําหรับควบคุมไอซีตวั นี้ จะเห็นวา ถา ใหขา MR เปนลอจิก”1” ไมว าขาอื่นๆ จะเปน อยา งไร หมายความวา วงจรนบั ถูกรีเซต็ จะทําใหเอาตพตุ ของวงจรนบั เปนลอจกิ ”0”ทุกบติ ถาหากตอ งการตอเปนวงจรนับขึน้ จะตอ งใหส ัญญาณเขามาทาง CLK โดยท่ขี า MR.DIS และ LEเปนลอจิก ”0” ทุกขา 212

รูปที่ 8.21 ไอซีซมี อสเบอร 4553 วงจรนบั BCD ขนาด 3 หลกั ตัวอยา งการประยกุ ตใชไ อซีเบอร 4553 น้ี แสดงไดดงั รปู 8.22 โดยจะออกแบบระบบใหนบัสญั ญาณนาฬิกาและแสดงผลเปน เลขฐานสิบ ออกมาทาง 7 LED สวนจํานวน 3 หลกั จากวงจรจะเหน็ 213

วา การนําเอาตพตุ ท่ีเปนรหสั BCD มาแสดงผมทาง LED 7 สว นไดดงั นี้ จะตอ งนาํ รหัส BCD ทีไ่ ดม าถอดรหสั เปน รหัสสําหรับขับหลอดแสดงผลเสียกอน ในมีน่ ้จี ะใชไอซีถอดรหสั เบอร 4543 ที่เปน แบบCMOS หรือเบอร 74HC4543 สําหรับในสว นของการมลั ติเพลก็ ในแตละหลักนนั้ จะใชทรานซิสเตอรแ บบPNP มาตอ กบั ขา DS ของไอซเี พือ่ ขับหลอด LED ใหแ สดงผมคร้งั ละหลกั ดังนน้ั วงจรนที้ าํ งานวงจรนับจะแสดงผลเปนตวั เลขตั้งแต 000 ไปจนถงึ 999 รปู ที่ 8.22 วงจรนบั ข้ึนแสดงผลแบบมัลตเิ พล็ก 3 หลักโจทยทดสอบ39. ไอซี 4553 เปนไอซแี บบใด …………(วงจรบวก.วงจรนับ)โดยการทํางานของมันจะสแกนการแสงดงผลออกมาครัง้ ละหลักอยางรวดเรว็ วิธนี เ้ี รยี กวา …………(Multiplexer.shifler)40. ขา MR ( master reset) ของไอซี 4553 จะทาํ งานเมอ่ื สญั ญาณเปน ……(High.Low) โดยจะทําใหวงจรนับมีเอาตพ ุตเปน 0 ทกุ หลกั41 .ขาอนิ พุต CLK ของวงจรนับจะนบั เม่ือสัญญาณมกี ารเปล่ยี นแปลงแบบใด42. ขา LE(Latch enable) ของไอซี 4553 จะแอกตฟี ดวยสัญญาณลอจกิ ใด8.10 การใชไ อซีเคานเ ตอรในงานอเิ ลก็ ทรอนกิ ส ในหวั ขอน้จี ะกลา วถงึ การใชไ อซีเคานเ ตอรแบบ CMOS มาใชประยุกตสรางเปน วงจรเกมอิเลก็ ทรอนิกสโ ดยใหผเู ลน ใสคาตวั เลขเปน เลขไบนารี จากนัน้ วงจรเลขจะสมุ ตัวเลขออกมา และนาํ มาเปรียบเทยี บกันวาเทา กนั หรอื ไมถ า หากใครทําไดถูกตอ งมากกวา เปน ผูชนะ จากวงจรตวั อยา งในรปู ท่ี 8.23 ข้ันแรกจะเร่ิมเลนโดยการกด SW1 ซึง่ จะไดความถข่ี นาด 1 kHzเขา ไปยังไอซนี ับแบบไบนารี วิธีซ่งึ จะเปนการสุมตวั เลขระหวาง 0000 ถงึ 1111 ใหกบั อินพตุ B ของ 214

74HC85 4-bit magnitude comparator ถา คาอนิ พตุ B กบั ตัวเลขท่ีปอนเขาไป (อนิ พตุ A) มีคา เทา กนัขาเอาตพ ตุ A=Bout จะแอกตฟี (High) ทําใหห ลอดLED สีเขยี วสวา ง หลังจากนั้น จะเลน อีกคร้งั โดยการกดสวติ ซ SW 1 ถา หากตัวเลขท่ีปอนเขาไปกบั คา ที่ไดจ ากการสมุ ไมเทากัน เชนอนิ พุต A มีคานอ ยกวา Bจะเกดิ การแอคตฟี ที่ A<Bout ทาํ ให LED สีเหลืองสวา ง ทาํ นองเดียวกนั ถา อินพตุ A มีคามากกวาอนิ พตุB หลอด LED สแี ดงจะสวา ง รปู ที่ 8.23 วงจรเกมอเิ ลก็ ทรอนกิ ส จากวงจรเกมอเิ ล็กทรอนกิ สใ นรปู ที่ 8.23 น้ัน จะเหน็ วาการสรา งสัญญาณนาฬกิ าความถ่ี 1kHz จะใชไอซี 555 มาเปนตวั กาํ เนดิ สัญญาณคล่ืนสี่เหลย่ี ม และใชไ อซีวงจรนบั เบอร 74HC393 สาํ หรบัวงจรเปรยี บเทยี บสัญญาณจะใชไอซเี บอร 74HC385 รปู ท่ี 8.24 จะแสดงรายระเอยี ดและการทาํ งานของไอซี 74HC85 4-bit magnitudecomparator โดยรูปท่ี 8.24 (a) จะแสดงขาตา งๆของไอซี ซงึ่ มองจากดานบนของ CMOS IC เบอร74HC85 สําหรับตารางความจริงแสดงไดด งั รูปที่ 8.24 (b) ไอซีเบอร 74HC85 จะมขี าอินพตุ พเิ ศษ 3 ขาสาํ หรบั การใชง านเปรียบเทยี บแบบ cascading ซง่ึ นําไอซตี วั เปรียบเทยี บนี้ มาตอ เรยี งกนั ใหเ ปรยี บเทยี บขอ มลู ไดม ากบติ ขน้ึ แสดงไวใ นรปู ที่ 8.25 ซึ่งวงจรนจี้ ะใชใ นการเปรียบเทยี บคา ไบนารแี บบ 8 บติ 2 ตวัโดยมีอนิ พุตเปน A7.A6,A5,A4,A3,A2,A1,A0 และ B7,B6,B5,B4,B3,B2,B1,B0 โดยคา เอาทพ ุตจาก IC2จะเปนไปได 3 คา ( A>B, A=B หรอื A<B ) 215

รูปท่ี 8.24 ไอซเี ปรยี บเทียบ CMOS เบอร 74HC85(a) เปนลักษณะการจัดขา (b)เปน ตารางการทํางาน 216

รูปที่ 8.25 การนาํ ไอซเี ปรียบเทียบมาตอ กนั แบบ Cascaded วงจรนับน้ียงั ถกู นําไปใชใ นงานดานอนื่ ๆ ไดมากมาย ตัวอยางการนับอีกแบบหนึง่ ทจี่ ะกลาวถงึเปนการนบั ท่ไี ดร บั สัญญาณอนิ พตุ จากตวั จับแสง โดยถา หากวัตถหุ รอื มใี บพัดตัดทางเดินของแสง จะมีสญั ญาณพลั สอ อกมา อปุ กรณจับแสงประเภทนี้ แสดงไดด งั รูปท่ี 8.26 โดยรปู (a) เปนลักษณะของการตอวงจร โดยในวงจร จะมีแหลง กําเนดิ แสง และมีโฟโตทรานซสิ เตอรเ อาตพ ตุ สาํ หรบั รปู รา งของตวั จบัแสงน้ที ีม่ ีขายกนั โดยท่วั ไป จะเปน ดงั รปู ท่ี 8.26 (b) ถาหากมวี ัตถทุ ึบแสงผานไปใน slot จะใหพ ัลสออกมา การนําไปใชงานจะตอ งตอ ตวั ตานทานทางขา 1 เพ่อื ใหแ หลง กาํ เนิดสามารถสง แสงออกมาไดสาํ หรับสัญญาณท่ีไดท างเอาตพ ตุ ทางขา 3 จะตอ งตอตัวตานทานพลู อัพเอาไวดว ย 217

รูปท่ี 8.26 ตัวจรวจจับแสง ตัวอยางของวงจรที่นับสญั ญาณจากการตรวจจับแสงแสดงไดดงั รปู ที่ 8.27 และเนอื่ งจากสญั ญาณพัลสไดจากตวั จับแสงจะมีลักษณะเปน รูปสเี่ หลยี่ มทไ่ี มส มบูรณ จึงตอ งนําสัญญาณทีไ่ ดออกมาแตง รูปสัญญาณท่ีไดออกมาแตงรปู สญั ญาณใหเปน สเี่ หล่ียมโดยสมบรู ณเสียกอนจากวงจรจะเห็นวาใชไอซีซมทิ ทริกเกอรเ บอร 7414 มาแตงรูปสญั ญาณและสง ใหกบั วงจรนบั ตอ ไป โดยไอซี 74192 จะทําหนาทเ่ี ปนวงจรนบั ข้นึ แบบ 4 บติ และสงเอาตพ ุตท่ไี ด ใหก ับอปุ กรณข บั หลอดแสดงผลแบบ LED 7 สวนตอ ไป 218

รูปท่ี 8.27 ตัวอยา งการประยกุ ตใชตรวจจับแสงโจทยท ดสอบ43. จากรปู ที่ 8.23 ถา การนบั แบบไบนารนี บั ได 1001 และเราใสคา 1011 เขา ไปทางอนิ พุต หลอด LED สี…………….จะสวาง แสดงวา คา ที่ใสไ ปเปนอยางไร44. จากรูปที่ 8.23 ถาจะใหว งจรสมุ ตัวเลขจะตอ งทาํ อยางไร45. จากรปู ท่ี 8.28 ไอซี 555 timer จะทํางานเปน ………….(astable,monostable) multivibrator46. จากรปู ที่ 8.28 จงเขยี นสขี อง LED สําหรบั clock ตา งๆ ในชว งเวลา (t1 ถงึ t6) รปู ที่ 8.28 วงจรเปรยี บเทียบในโจทยข อ ท่ี 46 219

8.11 การหาขอผดิ พลาดในวงจรนบั ในหวั ขอนีจ้ ะแสดงวธิ กี ารหาขอ ผิดพลาดของวงจรนับ ทอ่ี าจจะเกดิ ขน้ึ ได พจิ ารณาวงจร 2-bitripple counter ดังรปู ที่ 8.29 (a) โดยไอซีทีใ่ ชใ นวงจรคอื เบอร 7476 ซง่ึ มลี กั ษณะขาดังรูปท่ี 8.29 (b)ถา สังเกตใหดจี ะเห็นวาขาอนิ พุตและเอาตพุตในรูปที่ 8.29 (a) และ (b) จะไมเหมอื นกัน ขาasynchronous preset input บนลอจิกไดอะแกรมจะแทนดวย PS สวนในตัวไอซจี ะแทนดว ย PR ซึง่เปนไอซีท่ีผลติ โดย National Semiconduction Coporation ซ่งึ สัญลกั ษณบางตวั บนขาอาจแตกตา งกนัแตอยา งไรกต็ าม การทํางานตา งๆจะยังเหมือนเดมิ วงจรในรูปท่ี 8.29 (a) นี้เราสามารถเคลียเอาตพุตใหเ ปน 00 ไดด วยสวติ ชเ ซต็ ที่อยูทางซาย มอื ของวงจร ถา หากนําดิจิตอลพัลเซอร (digital logic pulser) มาเปนตัวใหก ําเนดิ pulse ใหแ กขาอินพุตCLK ของ FF1 โดยใหป ลายของ digital pulse สมั ผัสกบั ขา 1 ของไอซี 1 ของไอซี 7476 จะเกิดการนบักลับไปกลบั มา เชน 00 (reset),01,10,11,10,11,10,11 ไปเรอื่ ยๆ โดยเอาตพุต Q ของ FF2 จะเปนHigh ตลอดแตสามารถเคลยี รห รอื รีเซ็ตดว ยสวิตชได รปู ที่ 8.29 a) ตวั อยางวงจร 2-bits ripple counter ในการหาจุดเสีย 220

รปู ที่ 8.29 b) ลักษณะของไอ๙ 7476 J-K Flipflop c) Logic Monitor จากการทดสอบ ถาหากหยุดจายไฟใหแ กวงจรในรปู ที่ 8.29 (a) และนาํ TTL logic monitor มาหนีบบนขาของไอซี 7476 จากน้ัน จายไฟใหก ับวงจรอีกคร้ังและกดสวติ ชเซต สัญญาณตา งๆ บน logic monitor จะแสดงไดใ นรปู ท่ี 8.29 (c) เปรียบเทียบสญั ญาณที่แสดงบน logic monitor โดยเทยี บกบั รปู ไดอะแกรมของขา โดยตรวจดูลักษณะลอจิกแบบขาตอ ขา ถา หากทขี่ า 7 มรี ะดับลอจกิ เปน Low จะเก่ียวของกับขา PS หรอื PR ซ่งึ จรงิ ๆแลว ควรเปน High เมื่อพิจารณาจาก logic diagram ถาเปน Low มันอาจเปนสาเหตุทที่ ําใหเ อาตพุต Qของ FF2 อยใู นสภาวะลอจิก High ถา หากนาํ logic probe มาตรวจเชค็ ทขี่ า 7 ของไอซี 7476 และหากหลอด LED บน logic probeไมสวา ง แสดงวา ทขี่ า 7 น้ันมีระดบั ลอจกิ เปน Low หรือ High สลบั กัน เปน ผลให IC รบั รวู าสัญญาณเปนLow บา งเปน High บา ง ถาหากดึงไอซอี อกมาจาก socket อาจพบวา ขา 7 อาจจะพบั งอทาํ ใหไมส ัมผสั กบัsocketของไอซีดงั รูปท8ี่ .30 ซึง่ เปน สาเหตุท่ที าํ ใหเกดิ ขอ ผิดพลาดข้ึน 221

รูปที่ 8.30 ขาไอซพี ับทําใหอ ินพุทมลี ักษณะลอยจากตัวอยางท่ผี านมา จะพบวาอปุ กรณท่ีชวยหาขอ ผดิ พลาดอนั ดบั แรก ไดแ ก logic diagram และความรคู วามเขาใจในหลักการทํางาน อนั ดบั ท่ีสองคือ โครงสรางของตัวไอซีและลกั ษณะของขาตางๆ อนั ดบั ทสี่ ามคือ digital pulser ใชสําหรบั กําเนิดสัญญาณ pulse อนั ดบั ทีส่ ่คี ือ logic monitor ใชสําหรับตรวจสอบระดับ ลอจกิ ของขาไอซี 7476 ท้งั หมด อันดบั ท่ีหา คอื logic probe ใช ตรวจสอบขาทนี่ าจะมีปญ หาของไอซแี ละสดุ ทายกค็ อื ความรูในวงจรและการพิจารณาสงั เกตแกไขปญหา ความรใู นระบบการทํางานของวงจรทวั่ ไปท่จี ะชวยแกขอผดิ พลาดไดโจทยทดสอบ47. จากรูปท่ี 8.29 ขา 4,9,12 และ 16 ขาอนิ พุต J และ K ของฟลิปฟลอปจะเปน ………(High Low)48. จากรปู ท่ี 8.29 ขา 3 และ 8 จะใชสําหรับ…………...อินพุตของฟลิปฟลอป49. จากรูปที่ 8.29 ขา 2 และ 7 จะเปน ……….อินพุตของฟลิปฟลอป โดยในวงจรจะมีลอจกิเปน…………(High Low)สรุป1. ฟลปิ ฟลอปสามารถนํามาสรา งเปนวงจรนบั แบบไบนารีได2.วงจรนบั สามารถทาํ งานได ทงั้ แบบอะซงิ โครนัสถา ทาํ งานแบบอะซิงโครนสั เรยี กวา ตัวนบั แบบ ริปเปล(ripple counter)3. การ modulus ของวงจรนบั จะมีภาวะท่แี ตกตางกนั หลายคา เชน mod5 จะตา งกัน 5 คา คือเรมิ่ นบัจาก 000,001,010,011,100 (0,1,2,3,4 ในระบบฐานสิบ)4. วงจรนบั แบบ 4-bit binary counter จะเรม่ิ นบั คา จาก 0000 ถงึ 1111 (0 ถึง 15 ในระบบฐานสิบ)5. เราสามารถสรา งวงจรนบั ใหห ยุดในคา ทตี่ อ งการไดโดยเพมิ่ เกตตางๆเขา ไป6. วงจรนบั สามารถออกแบบไดท้งั นบั ขนึ้ และนบั ลง7. วงจรหารความถสี่ ามารถออกแบบเพ่ิมจากวงจรนบั ไดอ กี หลายชนิด8. ไอซีที่ภายในบรรจุวงจรนบั ไวมีหลายเบอรท งั้ แบบ TTL และ CMOS 222

9. ในบทนี้ไดรูจ ักสัญลกั ษณแ ละเครอื่ งหมายตางๆที่ตอ งใชในวงจรนับ10. ไอซีเปรียบเทยี บคาไบนารที ไ่ี ดศ ึกษาในบทน้ีจะมเี อาตพุต 3 คา คอื A=B, A>B และ A<B ซงึ่ เราสามารถนําไปประยุกตใ ชงานไดหลายแบบ11. ในบทน้ไี ดรจู ักเคร่ืองมอื หลายชนดิ ในการตรวจหาขอ ผิดพลาดจากวงจรลอจิก เชน logic probe, volmeter, logic monitor, digital pulser, logic analyzer, IC tester และ oscilloscopeคาํ ถามทายบท8.1 จงเขียนวงจรลอจิกของวงจรนบั แบบ mod-8 ripple up counter โดยใช J-K ฟลปิ ฟลอป 3 ตวัพรอ มทง้ั แสดงคา เอาตพตุ ของบิต C,B และ A เม่ือ clock แตละลกู เขาไป8.2 จาก 8.1 จงเขยี นตารางแสดงความสมั พันธร ะหวางการนบั แบบฐานสองและฐานสิบ8.3 จงเขียนแผนภาพในลกั ษณะรูปท่ี 8.26 แสดง CLK 8 ลกู และเอาตพ ตุ ของ FF1,FF2 และ FF3 จากวงจรในขอ 8.1 สมมตวิ า ใชฟลปิ ฟลอปทีม่ กี ารทริกแบบ negative-edge-triggered8.4 วงจรนับแบบ…..(asynchronous, synchronous) เปนวงจรนบั ท่ีมีความซบั ซอน8.5 วงจรนบั แบบ synchronous สญั ญาณอนิ พุต CLK จะตอ แบบใด (ขนาน, อนุกรม)8.6 จงเขียนวงจร 4-bit ripple down counter โดยใช J-K ฟลิปฟลอป 4 ตวั พรอ มทงั้ แสดงอนิ พุต CLK ,อินพุต PS และเอาตพ ตุ 4 คา คอื D,C,B และ A8.7 วงจรนบั ในโจทยทดสอบขอ 7 ถา จะทําเปนวงจรนับลงจะทาํ ไดอ ยางไร8.8 จงออกแบบวงจรในขอ 8.7 ใหมในนบั จาก 1111 ถึง 0000 แลวหยุดโดยเพ่มิ OR เกต 4 อินพตุ เขาไป8.9 จงเขียนไดอะแกรมคลา ยกับรปู ที่ 8.12 โดยใชวงจรนบั 2 ตัวและมีอนิ พุตเขามา 100 Hz เอาตพ ุตทีไ่ ดเปน 1 Hz8.10 จากไอซี counter เบอร 7493 ในรปู ท่ี 8.13 จงตอบคําถามตอไปน้ี- จะนับไดม ากที่สดุ เทา ไร- เปนวงจรนับแบบ……….(ripple, synchronous) counter- เงอ่ื นไขรีเซ็ตเปนอยา งไร- เปนวงจรนับแบบ……….(down, up) counter- ไอซี 7493 ประกอบดวยฟลบิ ฟลอปกีต่ ัว- ตัว NAND เกตมหี นาท่อี ะไร8.11 จากไอซี counter เบอร 74192 ในรปู ที่ 8.14 จงตอบคาํ ถามตอ ไปนี้- วงจรนบั จะนับไดส งู สุดเทาไร- เปน วงจรนับแบบ………..(ripple, synchronous) counter- ในการเคลียรใ ห counter เปน 0000 จะตอ งใชล อจกิ อะไร- เปน วงจรนับแบบ……….(down, up, both up and down) counter- ถา ตอ งการใหเอาตพุตเปน 1001 จะตองทําอยางไร 223

- ถา จะใหว งจรนับนับลงจะตอ งทาํ อยางไร8.12 จงเขียนไดอะแกรมคลายกบั รูปที่ 8.15(a) โดยใชไอซีเบอร 7493 และใชร ูปท่ี 8.13ประกอบ8.13 จากรูปท่ี 8.31 ไอซีเบอร 74192 จะเปนอยางไร เมอ่ื pulse t1 เขาไป (clear, count up, load) รูปที่ 8.31 โจทยก ารนบั ของไอซี8.14 จากรปู ท่ี 8.31 จงเขียนเอาตพ ุตแบบไบนารีท่ไี ดจากไอซี counter 74192 หลักจาก pulse แตล ะลกูเขา ไป จํานวน 8 ลูก8.15 จากรปู ที่ 8.17 จงตอบคําถามเก่ยี วกบั ไอซี 74HC393 ตอไปนี้- เปน วงจรแบบ………. (ripple, synchronous) counter- เปน วงจรแบบ………..(down, up either up or down) counter- ขา MR จะเปนขา…………(asynchronous, synchronous ซงึ่ จะมแี อกตฟี ………….(High, Low) เมื่อจะเคลียรเ อาตพ ุต- ไอซวี งจรนบั ตวั นภี้ ายในจะเปนฟลปิ ฟลอปแบบใด (R-S, T)- เปนไอซี counter แบบใด (CMOS, TTL)8.16 จากไอซี 74HC193 ในรปู ท่ี 8.18 จงตอบคาํ ถามตอไปน้ี- เม่อื ใหข า MR แอกตฟี จะตอ งใชลอจกิ ………. (High, Low)และเอาตพ ุตทกุ ตัวจะเปน ...…….(0, 1)- วงจรน้ีเปนแบบ……….(ripple, synchronous) counter- ขอมูลขนานทางอนิ พตุ (D0-D3) จะออกสเู อาตพตุ (Q0-Q3) เม่ืออินพตุ แอกตฟี Low- เม่อื สญั ญาณ clock เขามาทางขา CPu ขา CPd จะตอ งตอกับ………. (+5V, GND)8.17 จากรูปที่ 8.32 จงเขียนโหมดการทาํ งานไอซเบอรนี้ เมื่อ clock ลกู t1 ถึง t8 เขาไป (parallelload, count up, count down)8.18 จากรูปท่ี 8.32 จงเขยี นเอาตพุตแบบไบนารจี ากไอซี 74HC193 หลงั จาก pulse แตล ะลกู เขา ไป 224

รปู ท่ี 8.32 โจทยปญหาวงจรนบั8.19 จากรูปที่ 8.25 ไอซ7ี 4็ฉ85 2ตัวตอ กนั แบบ . . . . . . . . . . .(cascaded, Subdivided) ซ่งึ สามารถใชเปรียบเทยี บเลขไบนารี 2จํานวน . . . . . . . . . . .บิต8.20 จารูปท่ี 8.33 จงแสดงวา หลอด LED สีใดสวางบา งในเวลาตา งๆ จาก t1 ถึง t68.21 ตวั Oscilloscope เปน เครื่องมอื ท่ีวัดสัญญาณดิจติ อล ท่มี ีลักษณะซาํ้ ๆ ไดด ี สําหรับตวั Logic . . .. . . . . . (Analyzer ,Monitor) จะดีสาํ หรับดูสญั ญาณแบบ Asynchronous8.22 เคร่ืองมอื ในรปู ท่ี . . . . . . . . [8.25(a),8.27(a)] เปน เครื่องมอื ทใ่ี ชทดสอบไอซีวาดหี รอื เสีย8.23 เครอื่ งมือที่มชี ่อื Digital . . . . . .. .( IC tester ,Pulser ) เปนเคร่ืองมือทใี่ ชส ง สัญญาณเขาสวู งจร8.24 จงบอกรายชื่อของเคร่อื งมอื ทใ่ี ชทดสอบและหาจุดผดิ พลาดของวงจรดิจติ อล 225

คาํ ตอบโจทยทดสอบ รปู ที่ 8.33 โจทยวงจรเปรียบเทียบสญั ญาณ1. Two Pulse t3=102. 4 Pulse t4=113. toggle Pulse t5=004. Pulse t1=00 Pulse t6=01 28. 8 Pulse t2=01 29. 4-bit binary5.Ripple,decade 30. High6. ripple,5 31. H-To-L8. synchronous 32. 16Ripple9. parallel 33. Synchronous10. toggle 34. Asynchronous11. ทุกตัว13. toggle 35. Q0 ถงึ Q314. Hight-to-Low 36. 0001,0010,0011,0100,0101,011015. FF1 toggles 37.ใชPresetเปน0001หลงั จากนับไปถึง011016. Pulse t1=00 226 Pulse t2=11

Pules t3=10 39. วงจรนบั ,Multiplexer Pules t4=01 40. High Pules t5=00 41. H-To-L Pules t6=11 42. Negativer-edge17. Down 43. แดง High18. Hight,toggle 44. กดและปลอ ยสวิตซ19. Low,Hole 45.Astable20. 1000 46. t6=read21. 2 t5=green22. 0000 t4=red23. Four,up t3=yellow24. Decade,synchronous t2=red25. 5 t1=green26. High 47. High27. จดุ B=200 Hz 48. Clear จดุ C=100 Hz 49. Preset,High จดุ D=50 Hz 227


Like this book? You can publish your book online for free in a few minutes!
Create your own flipbook